KEYWORDS: Yield improvement, Digital filtering, Lithography, Back end of line, Optical lithography, Chemistry, Manufacturing, Metrology, Etching, Optics manufacturing
Process and equipment engineers are always seeking ways to improve yield quickly and efficiently, especially on newly developing processes. These engineers have many tools at their disposal – equipment enhancements, software upgrades, and materials improvements. Many of these tools come from OEMs (other equipment suppliers) and materials suppliers who all benefit from close collaboration with IDMs to improve yield. This paper will discuss the strategies utilized to improve yield on 32 nm BEOL (back end of line) lithography processes with sub-10 nm photochemical filtration. This collaboration generated electrical yield data that validated the performance of several sub-10 nm photochemical filters on various resist and ancillary chemicals used in a tri-layer stack. Examples of yield enhancement include the use of 5 nm UPE (ultra high molecular weight polyethylene) in OPL (optical planarizing layers) which showed a 69% improvement in overall median yield for an OPL material used in the first metallization layer, and a 26% improvement for a second OPL material used in subsequent metallization processes . In addition, this paper will present data studying pre-wetting of a 5 nm point-of-use filter before track installation. Building on the success of this collaboration, an example filtration roadmap is also explored to show the benefits of using advanced filtration in 32 nm technologies and beyond.
As 193-nm immersion lithography is extended indefinitely to sustain technology roadmaps, there is increasing pressure to contain escalating lithography costs by identifying patterning solutions that can minimize the use of multiple-pass processes. Contact patterning for the 32/28-nm technology nodes has been greatly facilitated by the just-in-time introduction of new process enablers that allow the support of flexible foundry-oriented ground rules alongside high-performance technology, without inhibiting migration to a single-pass patterning process. The incorporation of device-based performance metrics, along with rigorous patterning and structural variability studies, was critical in the evaluation of material innovation for improved resolution and CD shrink. Additionally, novel design changes for single patterning incorporating mask optimization efforts, along with new capability in data preparation, were assessed to allow for minimal impact of implementation of a single patterning contact process late in the 32-nm and 28-nm development cycles. In summary, this paper provides a comprehensive study of what it takes to turn a contact-level double-patterning process into a single-patterning process consisting of design and data manipulation, as well as wafer manufacturing aspects, together with many results.
As 193 nm immersion lithography is extended indefinitely to sustain technology roadmaps, there is increasing pressure
to contain escalating lithography costs by identifying patterning solutions that can minimize the use of multiple-pass
processes. Contact patterning for the 32/28 nm technology nodes has been greatly facilitated by just-in-time introduction
of new process enablers that allow the simultaneous support of flexible foundry-oriented ground rules alongside highperformance
technology, while also migrating to a single-pass patterning process. The incorporation of device based
performance metrics along with rigorous patterning and structural variability studies were critical in the evaluation of
material innovation for improved resolution and CD shrink along with novel data preparation flows utilizing aggressive
strategies for SRAF insertion and retargeting.
As the Rayleigh equations already tell us, improvements in imaging resolution often come at the price of a depth-offocus
loss. Often we balance the resolution versus DoF dilemma without regard of the imaging layers location in the
overall film stack. E.g. often several via or metal layers are processed with the same optical settings despite facing
different amount of depth-of-focus requirements.
In actuality, however, substrate induced focus variation can vary greatly from layers at the bottom of a film stack to the
layers higher up in the film stack. In the age of super-low k1 lithography this variance needs to be taken into account on a
layer specific basis when evaluating the resolution versus DoF tradeoff.
We have studied substrate induced focus variation for a 45nm technology test-site as function of film stack sequence and
spatial frequency, combining various measurement techniques into an overall topography spectrum. These techniques
include data extraction from the exposure tools optical leveling sensor, a mechanical air gauge to calibrate the former
and interferometric profiling tools.
As a result, we can quantify our DoF requirement for a given layer and product and use this information to optimize our
process design on a layer-by-layer basis.
This work was performed by the Research Alliance Teams at various IBM Research and Development
Facilities
Depth of Focus (DOF) and exposure latitude requirements have long been ambiguous. Techniques range from scaling
values from previous generations to summing individual components from the scanner. Even more ambiguous is what
critical dimension (CD) variation can be allowed to originate from dose and focus variation. In this paper we discuss a
comprehensive approach to measuring focus variation that a process must be capable of handling. We also describe a
detailed methodology to determine how much CD variation can come from dose and focus variation. This includes
examples of the statistics used to combine individual components of CD, dose and focus variation.
Our case study experimentally gauges the defocus component induced by a step in the exposure field substrate, with
the edge of the step aligned parallel to the scanning slit. Such steps frequently occur at the border of different chiplets or
process monitors within one exposure field. A common assumption is that a step-and-scan imaging system can correct
for the majority of such topography, since the wafer is dynamically leveled under the static image plane as it is scanned.
Our results show that the range of defocus approaches about 85% of the actual step height and thus contributes
significantly to the overall focusing variance. This area on the wafer in which defocus can be observed extends by more
than 3mm to both sides of the step. In the same area a degradation of imaging fidelity can be observed in the form of
exaggerated proximity effects.
The ability to extend 193 nm lithography resolution depends on increasing the numerical aperture (NA) of the exposure system, resulting in smaller depth of focus, which subsequently requires use of thinner photoresists. Bottom antireflective coatings (BARCs) are a necessity, but the organic composition of current 193 nm BARCs offers poor etch selectivity to the photoresist. As a result, image transfer with thin resists is becoming increasingly difficult. It is also more challenging to control reflectivity at high numerical apertures with a thin, single layer BARC.
To address these issues, IBM has developed a new class of silicon containing BARCs. These materials exhibit high etch selectivity that will significantly improve the performance of high NA 193 nm lithography. The incorporation of silicon in the backbone of the polymers comprising these BARCS affords a high etch selectivity to conventional organic resists and therefore these polymers can be used as thick planarizing BARCs. The optical constants of these BARCs have been tuned to provide good reflectivity control at NA > 1.2 These materials can also be used as part of a dual layer BARC scheme composed of the thin organosilicon based BARC coated over a planarizing organic underlayer. This scheme has also been optically tuned to provide reflectivity suppression at high incident angles. By utilizing a thick BARC, a novel contact hole shrink process is enabled that allows tapering of the sidewall angle and controlling the post-etch critical dimension (CD) bias. Structures of the silicon containing polymer, formulation chemistry, optical tunability, lithography at high NA and RIE pattern transfer are reported.
A simple experimentally characterized lumped-parameter budget model is developed with the goal of quantifying the most significant components of critical dimension (CD) variation through an integrated process module. Tracked components include mask fabrication budgets, mask error factor, scanner field variation, optical proximity correction error, CD errors over chip topography, wafer-to-wafer and lot-to-lot variation. The components of variation are quantified for lithography and etch where appropriate and are fed into a simple interaction model to construct an overall patterning module CD budget. Normalized experimental results for this budget analysis are presented for 65 nm technology node contact patterning processes.
The extension of 193 nm lithography to the 65 and 45nm nodes transfers the development challenges from those associated with a new wavelength to those required to push an existing technology further. With respect to mask repair, new challenges are introduced as the mask features shrink and OPC becomes increasingly aggressive. These same factors increase mask costs to provide strong motivation for enhancing existing repair capabilities. The business case for investing in repair has only become stronger for leading edge 193 nm masks. This paper evaluates several repair techniques on a range of clear and opaque defects in Cr, MoSi and quartz features. A systematic approach is used to evaluate options for understanding the quality of a repair. Though conventional reconstruction techniques are used, we also explore non-intuitive repairs. These non-standard repairs attempt to reconstruct the printed image without duplicating the designed physical structure. An understanding of each method's process latitude is gained. AIMS analysis, lithographic simulations, and wafer print results are used to refine the understanding of repair specifications.
Satellite spot defects are a class of defects widely observed in photoresist processing in 248 nm and 193 nm lithography. These defects become more and more significant as the feature sizes shrink and can potentially become “killer” defects, leading to bridging between lines and/or blocking vias. Traditional potential solutions (i.e., optimization of development rinse step) have yielded improvements in the past but did not eliminate the problem. The use of water-soluble topcoat layers was shown to eliminate these defects but it imposes limitations on throughput and cost and it is incompatible with 157 nm lithography and 193 nm immersion schemes. In this work, we report the use of aqueous surfactant solutions for the suppression of defects in 248 nm and 193 nm lithography, with emphasis on satellite spot defects. Suppression of total defects by up to ~99% and practically complete elimination of satellite spot defects were achieved by use of aqueous surfactant solutions for various resists. A handful of materials that can be incorporated into rinse solution for the successful elimination of blob defects in a variety of resists were identified. It was determined that the two most important factors that enable successful defect elimination are the surfactant concentration and the extent of surfactant adsorption to specific resist systems.
Resist technologies that will enable next-generation lithography (NGL) such as extreme ultraviolet lithography (EUV) will require tighter control of critical dimension (CD) with appropriate reduction of line edge roughness (LER) of resist features to levels that seem unrealizable today. Given the delicate balance existing between LER, resolution and sensitivity that is associated with photoresist patterning, alternative processing methodologies that can address such parameters individually are required. In this work a post-processing method designed to control LER is proposed based on the ability of an additive-containing rinse to condition the surface of photoresist patterns. Organic salts added to the final rinse used to quench the development process are found to be particularly effective towards this end. LER reduction up to 15% was observed for a broad range of 193 nm resist systems, while preserving the integrity of the pattern profiles. The dependence of LER reduction on additive concentration was investigated and the limited improvement observed was explained based on the tendency of the additive to self-aggregate. Finally, the advantage of including an additive in the rinse step instead of using an additive-containing developer is discussed in terms of critical dimension bias and overall image integrity control.
Cationic graft polymerization lithography is a variation of top surface imaging schemes. This technique uses a spin-coated, inert polymer film as a photoacid generator carrier. UV exposure is used to generate acid in the top surface of the film. A vapor-phase reaction between the generated acid and a silicon-containing monomer occurs in the exposed areas. The silicon-containing polymer formed, or grafted, on the surface is used as an oxygen etch mask for subsequent pattern transfer through the underlying film. A modular approach can be employed in material design, allowing optimization of characteristics of each component. A key criterion is introduced by the interaction between the transfer layer and the graft monomer. The solubility of the monomer into the inert polymer layer influences the growth behavior, and should be minimized to prevent background silylation and potential swelling. The solubility of the monomer in the inert polymer is characterized by measuring the equilibrium sorption of the vapor into the polymer. Solubility behavior can also be estimated from group contribution theories. These estimates guide the rational design of materials for this lithography process. Based on this analysis method, a new monomer, bis(vinyloxymethyl)dimethylsilane, has been designed and tested. Its sorption into a typical polymer layer has been characterized experimentally. Kinetic growth rate data have been obtained on a quartz crystal microbalance system, and preliminary imaging results using 248 nm exposure are presented.
Extending 193nm lithography to well below 100nm resolution will depend on high NA tooling coupled with thin resist processing. Semiconductor manufacturing uses BARC's (Bottom Antireflective Coating) based on organic spin coatable polymers, to improve the resolution by absorbing light that otherwise will be reflected back into the resist. However, the use of organic BARC's for patterning sub 100nm features will be limited due to poor etch selectivity to the photo resist. IBM has developed a new class of polymers that can function as planarizing BARC's. These materials show an etch selectivity to the photo resist in excess of 3:1 in fluorocarbon based ARC-open RIE chemistry. The hardmask properties of these materials for oxide open are equivalent to typical resists. Furthermore these materials can be implemented like organic ARC's and are stripped in resist strips available in manufacturing. Basic materials characterization data, optical tunability, lithographic performance with different resists, process window data, and complete integration schemes will be presented.
Conventional optical development rate measurement techniques are generally unsuitable for monitoring the dissolution very tin resist films. Monochromatic systems have inadequate thickness resolution to capture the details of surface and standing wave effects, while traditional polychromatic techniques are generally unable to measure thicknesses below 250 nm. The failure of polychromatic analysis methods occurs when there is an absence of turning points int eh relative reflection spectrum. The exact thickness at which this happens is a function of the wavelength range utilized and the resist material's optical characteristics. A novel measurement method is introduced which allows a polychromatic DRM system to measure any resist thickness. Rather than placing the film under analysis directly on a reflecting substrate, it is spun on a wafer that has a relatively thick transparent film on its surface. The transparent film induces turning points in the relative reflection spectrum. The position of these turning points is modified by the presence of thin resist films in a predictable way, allowing accurate measurement of the resist film, providing the optical and thickness details of the intermediate film are known. Experimental results are presented demonstrating the capability of the technique to measure the dissolution rates of films with initial thickness ranging from 56 nm to 4400 nm. The ability of the method to resolve fine dissolution detail, such as standing waves and surface effects is also presented.
Understanding the development rate of resists is critical for the characterization of photoresist formulations and accurate modeling of the photolithographic process. Most commercial development rate monitors (DRMs) are based on the optical interference of a single wavelength of light. (Perkin-Elmer DRM5800; Litho-tech Japan RDA-790). DRMs based on the interference across a broad spectrum of wavelengths, known as multi wavelength DRMs (MW-DRM), were first reported by Konnerth1,2 and have also been used for photolithographic research3,4. This technique has been applied to commercial DRMs (SC Technology Inspector), but the high cost of these tools has made them inaccessible to most research and development facilities. This paper describes the development of a new cost-effective, scaleable, multi-channel DRM that allows collection and calculation of multiple development rate curves using MW-DRM technology. Techniques are presented for collection of multi wavelength data at rates exceeding 80 Hz, which in turn allows the study of photoresists that develop at rates in excess of 5 microns per second. The algorithms necessary to analyze this data are presented. The use of these algorithms for the extraction of development rate curves is demonstrated with resists that exhibit surface inhibition and standing waves. The use of multi-layer algorithms to collect development rate information in films between 0 and 200 nm thick is also shown. Finally, the use of these techniques for characterization of deprotection in chemically amplified photoresists, is presented.
Complementary phase shift processes (c:PSM) have shown great promise for practical implementation of alternating phase shift technology. The incorporation of both binary and phase shift masks into a single resist process requires careful consideration of the illumination conditions. Modeling studies examined the impact of the numerical aperture (NA) and partial coherence (PC) on the depth of focus and exposure latitude of a typical DUV resist process. Experimental verification of the modeling results identified optimal NA/PC conditions for both independent and common mask illumination conditions while demonstrating the utility of lithography simulations for NA/PC optimization in c:PSM processes.
The demand for ultra thick photoresist formulations is steadily increasing. Many application such as wafer bumping and MEMB (micro electro-mechanical systems) require films in the range of 50 to 100 micrometers . In our study we measure the dissolution rates of the exposed resist as a function of re- hydration time and other process conditions. Film thickness, processing conditions and developer type are varied for two commercially available resists, AZP4620 and AZ9260 photoresists. It is found that the hydration status of the film has a defining influence on the dissolution behavior. Films that have had insufficient time to re- hydrate cannot be completely dissolved even at very high doses and long development times. Investigation of the water sorption rates by quartz crystal microbalance experiments yielded a diffusion constant of 0.12 micrometers /sec, in good agreement with literature data on similar systems. Calculations of the water concentration of thick films show that re-hydration times can take several hours. Under these conditions, there is insufficient water in the lower part of the resist film to react with the ketene generated by photolysis of the DNQ sensitizer. The IR trace of the indenylidene ketene C=C=O stretch band can be observed directly under normal atmospheric humidity conditions. From the observed kinetics, conditions can be derived for re- hydration from the gas or liquid phases that lead to practical process conditions applicable to ultrathick film processing in the fab.
New microlithography patterning technologies must be developed in order to meet the demands of advanced semiconductor manufacturing. This paper describes the development of a new top surface imaging technique that is designed to circumvent the difficulties associated with developing next generation single layer resists. Graft polymerization lithography is an extension of top surface imaging in which exposure creates an acidic surface that activates monomer deposition on top of the base layer. Silicon-containing monomers were synthesized in order to meet the graft polymerization process requirements. A QCM-monitored deposition system was developed to study the fundamental behavior of the deposition process as a function of temperature, pressure, and photoacid generator (PAG) loading. Volumetric, bulk polymer sorption measurements were used to provide insight into the critical sorption behavior that drives the deposition process. These fundamental studies led to a proposed process mechanism that explains the experimentally observed behavior. Finally, proof of concept imaging experiments were conducted that demonstrate the graft polymerization process through all lithographic steps.
Finding materials that offer the all of the characteristics required of photoresist matrix resin polymers while trying to maintain a high level of transparency at 157 nm is a daunting challenge. To simplify this task, we have broken the design of these polymers down into subunits, each of which is responsible for a required function in the final material. In addition, we have begun collecting gas-phase VUV spectra of these potential subunits to measure their individual absorbance contributions. Progress on developing materials for each of these subunits are presented along with plans for future studies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.