As complex NTD resist behavior significantly impacts AF wafer printing , there is a need to better model NTD AF printing. We present our work to further enhance NTD compact modeling accuracy for AF printing prediction by physics-based and data-based methods. The physics-based enhancements are derived from improvements in behavioral mechanisms in exposed and partially exposed NTD materials. The data-based enhancements are derived from learning methodologies developed for predicting lithography hot-spots at the limits of process control. Both types of enhancements are needed to predict fine changes in imaging and resist behavior where traditional compact models break down.
PTD photoresists are still the main type of photoresists used for tight pitch layers in advanced patterning. Recent experimental results show evidence that the same mechanical deformation behaviors seen in NTD photoresist process also exist in PTD photoresist processes. These PTD photoresist deformation behaviors cause CD differences which significantly impact CD control budgets in modern technology nodes. Therefore, there is a strong need to accurately model PTD photoresist deformation effects in compact OPC models. In this paper we discuss the polymer physics relevant to physical deformation in PTD photoresists in comparison to NTD photoresists
Due to the semiconductor industry’s ever increasing need for finer resolution and improved critical dimension (CD) control, negative tone development (NTD) photoresists (resists) have been adopted for several advanced applications in lithographic patterning. NTD resists enable brightfield imaging by using an organic solvent developer to penetrate and remove the unexposed regions of the resist [1]. For certain critical patterning layers, such as metal trenches and vias, NTD resists are able to provide better resist imaging quality compared to the previous positive tone development (PTD) resist process. However, there are several additional engineering difficulties which must be addressed for an NTD resist process. Specifically, NTD resists have low contrast organic solvent development and in an NTD process the material remaining on the wafer substrate is exposed resist which has been substantially transformed both chemically and mechanically. Therefore, the remaining exposed resist shows significantly more complex physical behavior than the remaining PTD resist and these behaviors require substantial improvement in an OPC (compact) model’s physical modeling accuracy in order to match wafer data and trends [2,3]. Additionally, these more complex resist behaviors place further requirements on the physical validation of OPC modeling inference. In this paper, we present results of our work to understand and improve the optimization and physical validation of physics-based NTD compact modeling flows by utilizing new methods for analysis and automation. We utilize a complete compact model flow containing physics-based resist model forms for chemically amplified resist (CAR) exposure, CAR reaction-diffusion, resist top-loss due to exposure combined with post-exposure bake (PEB), low contrast organic solvent development of resist, and mechanical deformation effects in multiple process steps. We present solid evidence that this physically-based flow has been validated for accuracy and predictability by comparing it to several experimental NTD datasets and to results of rigorous 3D lithography simulation models which were trained to fit other experimental NTD data. We additionally compared key physics-based model forms from the compact model to the more complex full time-based moving surface NTD models of the rigorous 3D simulation. We next analyzed the key physics-based compact model forms for sensitivity to input testpattern type, layout and mask dimension (e.g., linearity and MEEF), traditional dose-focus variations, as well as systematic and random noise in CD metrology. We present the results of this study and make recommendations for minimum testpattern and overall process space data to include in NTD compact model datasets. We also present flow benefits obtained from automating different validation tests including the usefulness of employing rigorous lithography simulation NTD results early in the compact modeling flow to improve overall model quality. [1] S-H. Lee, et all. Understanding dissolution behavior of 193-nm photoresists in organic solvent developers.
As feature resolution and process variations continue to shrink for new nodes of both DUV and EUV lithography, the density and number of devices on advanced semiconductor masks continue to increase rapidly. These advances cause significantly increased pressure on the accuracy and efficiency of OPC and assist feature (AF) optimization methods for each subsequent process technology. Several publications and industry presentations have discussed the use of neural networks or other machine learning (or even deep learning) to provide improvements in efficiency for OPC main feature optimization or AF placement. However, these two mask synthesis steps are not independent. OPC affects AF optimum position and size; and AF position and size both affect the final optimum OPC main feature correction. A challenging example of these interactions is the need for OPC and AF methods to be aware of potential AF wafer printing. AF printing on the wafer can lead to catastrophic device failure. If an AF is at risk of printing in photoresist, both the OPC and the size (and potentially the position) of the AF need to be modified accurately and efficiently. Recent advancements in lithography utilizing negative tone develop (NTD) photoresists (resists) with strong physical shrink effects also further increase the difficulty of accurately modeling AF printing. In this paper, we present results of our work to explore the requirements, the issues and the overall potential for developing robust, accurate and fast integrated machine learning methods to optimize OPC and AFs.
Although lens aberrations in EUV imaging systems are very small, aberration impacts on pattern placement error and overlay error need to be carefully investigated to obtain the most robust lithography process for high volume manufacturing. Instead of focusing entirely on pattern placement errors in the context of a single lithographic process, we holistically study the interaction between two sequential lithographic layers affected by evolving aberration wavefronts, calculate aberration induced overlay error, and explore new strategies to improve overlay.
This paper provides experimental measurements of through-focus pattern shifts between contact holes in a dense array and a surrounding pattern of lines and spaces using the SHARP actinic microscope in Berkeley. Experimental values for pattern shift in EUV lithography due to 3D mask effects are extracted from SHARP microscope images and benchmarked with pattern shift values determined by rigorous simulations.
Pellicles that satisfy transmission, emission, thermal, and mechanical requirements are highly desired for EUV high volume manufacturing. We present here the capability of integrating pellicles in the full flow of rigorous EUV lithography simulations. This platform allows us to investigate new coherence effects in EUV lithography when pellicle is used. Critical dimension uniformity and throughput loss due to pellicle defects and add-on particles are also analyzed. Our study provides theoretical insights into pellicle development and facilitates pellicle insertion in EUV lithography.
We describe the concept of controlled or natural motion of an object in a spatially varying field as the basis for high resolution coherent optical sensing and imaging. Examples presented are for microscopy with interfering laser beams and speckle formed by scattering from a random medium. Biomedical and environmental applications are presented and resolution issues discussed.
The initial readiness of EUV patterning was demonstrated in 2016 with IBM Alliance's 7nm device
technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second
generation of EUV patterning. Thus, Design Technology Co-optimization (DTCO) has become a critical
part of technology enablement as scaling has become more challenging and the industry pushes the limits
of EUV lithography. The working partnership between the design teams and the process development
teams typically involves an iterative approach to evaluate the manufacturability of proposed designs,
subsequent modifications to those designs and finally a design manual for the technology. While this
approach has served the industry well for many generations, the challenges at the Beyond 7nm node require
a more efficient approach. In this work, we describe the use of “Design Intent” lithographic layout
optimization where we remove the iterative component of DTCO and replace it with an optimization that
achieves both a “patterning friendly” design and minimizes the well-known EUV stochastic effects.
Solved together, this “design intent” approach can more quickly achieve superior lithographic results while
still meeting the original device’s functional specifications.
Specifically, in this work we will demonstrate “design intent” optimization for critical BEOL layers using
design tolerance bands to guide the source mask co-optimization. The design tolerance bands can be either
supplied as part of the original design or derived from some basic rules. Additionally, the EUV stochastic
behavior is mitigated by enhancing the image log slope (ILS) for specific key features as part of the overall
optimization. We will show the benefit of the “design intent approach” on both bidirectional and
unidirectional 28nm min pitch standard logic layouts and compare the more typical iterative SMO
approach. Thus demonstrating the benefit of allowing the design to float within the specified range.
Lastly, we discuss how the evolution of this approach could lead to layout optimization based entirely on
some minimal set of functional requirements and process constraints.
Unlike optical masks which are transmissive optical elements, use of extreme ultraviolet (EUV) radiation requires a reflective mask structure - a multi-layer coating consisting of alternating layers of high-Z (wave impedance) and low-Z materials that provide enhanced reflectivity over a narrow wavelength band peaked at the Bragg wavelength.1 Absorber side wall angle, corner rounding,2 surface roughness,3 and defects4 affect mask performance, but even seemingly simple parameters like bulk reflectivity on mirror and absorber surfaces can have a profound influence on imaging. For instance, using inaccurate reflectivity values at small and large incident angles would diminish the benefits of source mask co-optimization (SMO) and result in larger than expected pattern shifts.
The goal of our work is to calculate the variation in mask reflectivity due to various sources of inaccuracies using Monte Carlo simulations. Such calculation is necessary as small changes in the thickness and optical properties of the high-Z and low-Z materials can cause substantial variations in reflectivity. This is further complicated by undesirable intermixing between the two materials used to create the reflector.5 One of the key contributors to mask reflectivity fluctuation is identified to be the intermixing layer thickness. We also investigate the impacts on OPC when the wrong mask information is provided, and evaluate the deterioration of overlapping process window. For a hypothetical N7 via layer, the lack of accurate mask information costs 25% of the depth of focus at 5% exposure latitude. Our work would allow the determination of major contributors to mask reflectivity variation, drive experimental efforts of measuring such contributors, provide strategies to optimize mask reflectivity, and quantize the OPC errors due to imperfect mask modeling.
Minimization and control of line-edge roughness (LER) and contact-edge roughness (CER) is one of the current challenges limiting EUV line-space and contact hole printability. One significant contributor to feature roughness and CD variability in EUV is photon shot noise (PSN); others are the physical and chemical processes in photoresists, known as resist stochastic effect. Different approaches are available to mitigate each of these contributions. In order to facilitate this mitigation, it is important to assess the magnitude of each of these contributions separately from others. In this paper, we present and test a computational approach based on the concept of an ‘ideal resist’. An ideal resist is assumed to be devoid of all resist stochastic effects. Hence, such an ideal resist can only be simulated as an ‘ideal resist model’ (IRM) through explicit utilization of the Poisson statistics of PSN2 or direct Monte Carlo simulation of photon absorption in resist. LER estimated using IRM, thus quantifies the exclusive contribution of PSN to LER. The result of the simulation study done using IRM indicates higher magnitude of contribution (60%) from PSN to LER with respect to total or final LER for a sufficiently optimized high dose ‘state of the art’ EUV chemically amplified resist (CAR) model.
We report on the printability, mitigation and actinic mask level review of programmed substrate blank pit and bump defects in a EUV lithography test mask. We show the wafer printing behavior of these defects exposed with an NXE:3300 EUV lithography scanner and the corresponding mask level actinic review using the AIMSTM tool. We will show which categories of these blank substrate defects print on wafer and how they can be mitigated by hiding these defects under absorber lines. Furthermore we show that actinic AIMSTM mask review images of these defects, in combination with a simple thresholded resist transfer model, can accurately predict their wafer printing profiles. We also compare mask level actinic AIMSTM to top down mask SEM review in their ability to detect these defects.
Lithographic patterning at the 7 and 5 nm nodes will likely require EUV (λ=13.5 nm) lithography for many of the critical
levels. All optical elements in an EUV scanner are reflective which requires the EUV photomask to be illuminated at an
angle to its normal. Current scanners have an incidence of 6 degree, but future designs will be <6 degrees for high-NA
systems. Non-telecentricity has been shown to cause H-V bias due to shadowing, pattern shift through focus, and image
contrast lost due to apodization by the reflective mask coating. A thinner EUV absorber can dramatically reduce these
issues. Ni offers better EUV absorption than Ta-based materials, which hold promise as a thinner absorber candidate.
Unfortunately, the challenge of etching Ni has prevented its adoption into manufacturing. We propose a new absorber
material that infuses Ni nanoparticles into the TaN host medium, allowing for the use of established Ta etching chemistry.
A thinner is absorber is created due to the enhanced absorption properties of the Ni-Ta nano-composite material. Finite
integral method and effective medium theory-based transfer matrix method have been independently developed to analyze
the performance of the nano-composite absorption layer. We show that inserting 15% volume fraction Ni nanoparticles
into 40-nm of TaN absorber material can reduce the reflection below 2% over the EUV range. Numerical simulations
confirm that the reduced reflectivity is due to the increased absorption of Ni, while scattering only contributes to
approximately 0.2% of the reduction in reflectivity.
EUV lithography is uniquely positioned to extend single exposure solutions for critical imaging layers at the 7 nm technology node and beyond. In this work, we demonstrate the application of advanced EUV resolution enhancement techniques to enable bidirectional printing of 36 and 32 nm pitch standard logic cell and SRAM designs with 0.33 NA optics using an EUV OPC model. Prior work has highlighted the issues of pattern placement errors and image contrast loss due to the non-telecentricity that is inherent in EUV reflective imaging systems and masks. This work has also demonstrated utilizing asymmetric pupil to reduce the pattern placement error. It has been previously shown that there is a potential reduction in common process window due to through-pitch best focus shifts with non-optimized SRAF placement. In this paper, we demonstrate the use of: pattern placement error aware SMO, asymmetric illumination shape, and SRAF placement optimization to increase the overall common process window by as much as 40% compared to OPC only optimization. Consequently, we demonstrate the improved post-RET single patterning solution for 0.33 NA EUV bi-directional 7 nm node logic designs. We show that these techniques can achieve the required performance for MEEF, best focus shift across features, and ILS, which is known to be important for reducing stochastics and subsequent line-edge-roughness (LER).
The left side and right side line edge roughnesses (LER) of a line are compared for different conditions, such as through pitch, through critical dimension (CD), from horizontal to vertical line direction, from litho to etch. The investigation shows that the left and right side LER from lithography process are the same, however, the metrology can cause a 4-25% increase in the measured right side LER. The LER difference is related to the CDSEM e-beam scan direction.
Directed self-assembly (DSA), the epitaxial alignment of block copolymers to minimize the system free energy, is prone to defects that are random and difficult to eliminate. When creating fins for FinFET devices, the defect density is known to be a strong function of guide pattern CD. We propose the use of a restricted design rule (RDR) that limits the guide pattern CD to achieve low defectivity, and also report its impact on overall chip area and design-ability of logic, analog IO, and SRAM. The restricted design rule in defining guide pattern CD is extracted from empirical data. Design rule check (DRC) is applied to GLOBALFOUNDRIES' technology chip design to estimate the penalty of DSA RDR on fin layer.
The line edge roughness (LER) and line width roughness (LWR) transfer in a self-aligned quadruple patterning (SAQP) process is shown for the first time. Three LER characterization methods, including conventional standard deviation method, power spectral density (PSD) method and frequency domain 3-sigma method, are used in the analysis. The wiggling is also quantitatively characterized for each SAQP step with a wiggling factor. This work will benefit both process optimization and process monitoring.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.