PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
The effect of aberrations on process window optimization is investigated for typical memory patterns. A possibility of change of optimum NA and sigma by aberration level of lens is demonstrated. In order to extend low k1 imaging up to production line, customized lens and layer arrangement is suggested based on process latitude calculation with measured lens aberration. In-situ interferometer (ISI) was used for aberration measurement, of which the measurement accuracy was confirmed by comparison with Phase Measuring Interferometer (PMI). CD distribution of two critical layers of typical SRAM and DRAM patterns for required process latitudes of device patterns, depth of focus and dose latitude, were investigated and aberrations of DUV steppers and scanners were measured and used for calculation. In order to minimize aberration induced patterning errors, ranking of tools based on error calculation with measured aberration and customizations of NA and illumination settings for given device patterns were performed. Also, layout optimization for low aberration sensitivity was performed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A reticle with phase-only blazed gratings of varying azimuthal orientations diffracts light into only two orders, 0 & +1, discretely illuminating a lens pupil. The image of each grating is a sinusoidal interference pattern and is recorded as a surface relief in a highly absorbing photoresist. The maximum image contrast occurs when focus is set such that the RMS wavefront error over the two beams is minimized. This maximum contrast vs focus is recorded by a CCD array mounted on a dark-field optical microscope and the aberrations are obtained from an analysis of this record. Repeatability of equivalent primary aberrations of less than 0.001(lambda) RMS are achieved and used to monitor lens stability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new focus monitor reticle is proposed to measure field curvature and even wave-front aberrations. A grating pattern comprising opaque line, naked line and (pi) /2-phase-shifted groove with their width ratio equivalent to 2/1/1 makes either of first-order rays of diffraction disappear entirely. The other first-order ray interferes with the zeroth-order ray to form interference fringes with the ability of moving proportional to a defocus. This paper describes basic characteristics of the reticle and demonstration for a krypton fluoride (KrF) excimer laser scanner with a numerical aperture (NA) of 0.73 as an application of the reticle. Using overlapped exposures and an overlay inspection tool, the measurement of field curvature verified to achieve high accuracy of several nanometers or better. Besides, even wave-front aberrations up to six-foil were characterized with a high degree of accuracy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The exposure tool is a critical enabler to continue improving the packing density and transistor speed in the semiconductor industry. In addition to increasing resolution (improving packing density), a scanner is expected to provide tight linewidth control across the chip, ACLV (transistor speed). An important component of ACLV is lens aberrations. Recently techniques that allow the measurement in-situ of aberrations using Zernike coefficients have become available. We have measured the first 25 Zernike coefficients in two ASML PAS 500/700D DUV Step & Scan systems. The measured Zernikes are in agreement with PMI (Phase Measurement Interferometry) data collected at the lens manufacturer within 3.8 nm or less. We find good agreement between the variation of the Z5 (first order astigmatism) coefficient and the optimum focus offset between horizontal and vertical lines measured using FOCAL. There is also good agreement between Z5 and the linewidth difference between 160 nm horizontal and vertical lines with a 330 nm pitch. The lines were printed using an NA equals 0.68, (sigma) equals 0.70 on 3,800 angstrom of resist on top of an inorganic BARC. We find good correlation between the Z7 coefficient (first order coma) and linewidth variation across the slit. We also found that the effect of the aberrations as measured by linewidth range is a function of pitch. Linewidth range decreases as the duty ratio increases, reaching a minimum at a duty ratio of 1:1.44, and then increases again as the lines become isolated. This is surprising because these intermediate pitches also have the smallest focus-exposure window. We conclude that knowing the Zernike coefficients provides us with a very powerful tool to characterize our exposure tools. However to fully realize the benefit of this new tool we must improve the accuracy of our simulation tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work we present progress on the long-term evaluation of optical materials for 157-nm lithographic applications. We review the unique metrology capabilities that have been developed for accurately assessing optical properties of samples both online and offline, utilizing VUV spectrophotometry with in-situ lamp-based cleaning. We review the current status of bulk materials for lenses, such as CaF2 and BaF2, and durability results of antireflectance coatings. Finally, we describe progress on materials testing of organic pellicles, both with 172-nm lamps as well as under 157-nm laser irradiation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photomask handling is significantly more challenging for 157 nm lithography than for any previous generation of optical lithography. First, pellicle materials are not currently available which meet all the requirements for 157 nm lithography. Polymeric materials used at 193 nm higher wavelengths are not sufficiently transmissive at 157 nm, while modified fused silica materials have adequate transmission properties but introduce optical distortion. Second, the problem of molecular level contamination on the reticle must be solved. This contamination is due to the presence of oxygen, carbon dioxide, water, and other attenuators of 157 nm radiation on the mask surface. It must be removed using something other than the lithography laser due to throughput and cost of ownership considerations. Third, there is the issue of removing attenuators from under the pellicle after a material becomes available. Both the ambient atmosphere and other introduced contaminants must be removed from the space between the reticle and pellicle after cleaning but before exposure. Fourth are the potential issues for storage of reticles both during transportation from the mask shop and after it is in the wafer fab. Finally, the problems associated with operating in an optically inert dry environment must be addressed. The lack of moisture in the environment removes one of the key electrical discharge paths off of the reticle, which greatly increases the risk of electrostatic damage to the pattern (ESD). In order to address these and related issues in a timeframe consistent with the aggressive implementation plan for 157 nm lithography, International Sematech (ISMT) formed the 157 nm Reticle Handling Team in November of 1999. This paper details the most critical results to date of this industry-wide team, and gives a prognosis for successful completion of the team's primary goal: a demonstration of a feasible 157 nm reticle handling strategy by December of 2000.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents an analysis of quantum statistical limits on photolithographic imaging of very large arrays of semiconductor features. In flux limited imaging systems the photon counting statistics contribute to the overall process variation. There is a direct relationship between exposure latitude and sensitivity to photon counting statistics. For example, in an array of 1 million 90 nm contact holes imaged with 20 mJ/cm2 of 157 nm light 1350 of these holes will receive a total dose less than 98% of the mean dose. If the exposure latitude is 4% then these 1350 contacts will print out-of-spec as a result of the Poisson statistical distribution of photon-limited light sources (sometimes called shot-noise). High yield for volume semiconductor manufacturing requires failure rates well below this level. Each new device generation requires more functional transistors than the previous one, increasing approximately linearly. As the imaging wavelength decreases the net number of photons available decreases linearly (assuming constant laser power). The area of a contact hole decreases as the square of the critical dimension. Thus the fraction of chips with at least one contact hole receiving inadequate dose increases approximately as the fourth power of the wavelength. This presents serious implications for 157nm lithography semiconductor yield. Electron imaging systems are not immune to this either, Poisson limited intensity uniformity is nearly identical with that of optical imaging. 157 nm lithography is marginal to photon-statistics limited yield, and 13 nm EUV lithography yield is almost certainly photon limited. In addition, as transistor array size increases the 0.987 ppb failure rate of a 6-sigma process will not be sufficient for high yield. Thus 8 or 9-sigma processing will be needed along with significant improvement in exposure latitude and optimized resist sensitivity will be necessary.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
SVG Lithography (SVGL) has established and is executing a comprehensive program for the development of an advanced 157 nm Lithography Exposure System capable of processing 70 nm critical dimensions for three years now. This paper presents the approach, and details the present state of the challenges in the development of 157 nm lithography. It also describes the SVGL 157 nm program approach and provides some insight into the progress made to date addressing the challenges. Specific attention is paid to addressing 3 critical areas: Molecular contamination/purging, optical coating, and optical surfacing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Current status of Nikon's F2 tool development is reported. At first, the required otpical performance of F2 tools will be discussed. Image simulation results show that the required NA for 70 nm is 0.8 or more. Then Nikon's approaches to realize the optics and tools are presented. For the F2 tools, the most important elements are the projection optics and gas purging of the light path. As for the projection optics, conventional lens type may not be applicable for F2 wavelength, and new type catadioptric optics may have to be developed. In this paper, designs of some catadioptric types and some all refractive types are shown and compared. AR-coatings are very important to obtain enough illumination power. New data on Nikon's AR-coatings are presented. For the gas purging, Nikon has already achieved oxygen concentration less than 1 ppm and further improvements are now in development. Results of gas purging are also presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Short-range etch proximity effects increase intra-die CD variability and degrade the IC performance and yield. Tight control of the etch bias is an increasingly critical factor in realizing the ITRS technology nodes. The 2000 technology nodes revision added a new category, the post-etch 'physical' gate length metric, that is 9 - 17% smaller than 'in-resist' gate length. We present new etch proximity correction methods and models designed to reduce negative impact of etch-induced CD variability and increase uniformity of the controlled over- etching. Resolution Enhancement Technologies (RET) design correction methods typically employ 'lumped' process models. We found that an alternative methodology based upon separation of the process factors and the related models may yield better accuracy, performance, and better suit the design and process optimization flows. The contributions from the reticle, the optics, the wafer, and etch are individually determined and then used either separately or in aggregation for the most flexible and optimum correction of their respective contributions. The etch corrections are based on the Variable Etch Bias model (VEB model). This semi-empirical model requires experimental CD information to be collected from the test patterns under fixed process conditions (point-process model). It demonstrates excellent fit to the early experimental CD-SEM data gathered to date, which spans a variety of layout features and process conditions. The VEB model works in conjunction with CalibreR software system's Variable Threshold Resist-Extended (VTR-E) model, however the etching is modeled separately from the optics and the resist processing. This yields better understanding and more accurate explanation of the experiments than those that are produced by the 'lumped' process modeling. The VEB model explains etch- induced bias in terms of the following three proximity characteristics or variables: effective trench width (or pattern separation), pattern density, and effective line width (or pattern granularity). We synthesized and studied their integral representations. Performance fitness of the various weighting, smoothing, and anisotropic integral kernels and their parameters were studied to correctly reflect the etch bias behavior on silicon. We found that depending on the resist composition and layer types (poly or metal), the etch bias can sometimes be explained only by one or two (out of three) proximity variables. The aperture and microloading etch effects are studied and shown to be correctly reflected in the model. We demonstrate how model-based corrections improve CD uniformity of the poly and metal layers by compensating for the iso/dense and inverse-iso/dense biases. More complicated 2-D proximity effects are also captured, which is confirmed by the comparison of the SEM images to the simulations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optical Proximity Correction has emerged as an industry standard technique to reproduce the desired shapes on wafers as pattern dimensions are approaching the optical resolution limits. However secondary effects, if not properly controlled, may impede successful application of this technique. In order to better assess these factors we have divided the overall pattern formation process into several obvious components: The illumination system, mask, projection optics, resist system and finally etch processes. Each one of these components influences the optical proximity effects observed in the final pattern. The dependence of optical proximity corrections on the type of illumination is fairly well known and will only be touched on. Variations in the mask manufacturing process such as deviations of the mask critical dimension from its nominal value will be discussed. The type of e-beam exposure tool used to write the mask was found to have profound impact on optical proximity correction and therefore specifying the type of mask writing tool and sometimes even its writing mode to ensure reproducible results is required. Lens aberrations in the optical exposure tool and their impact were studied using aerial image simulations. Examples of optical proximity curves from different first generation tools show significant differences even between tools of the same type. Resist effects and the variations induced by modifying etch processes were investigated emphasizing that a fairly detailed control of the overall pattern formation process is necessary to successfully implement any OPC approach.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The first 193 nm lithography processes using model-based OPC will soon be in production for 0.13 micrometer technology semiconductor manufacturing. However, the relative immaturity of 193 nm resist, etch and reticle processes places considerable strain upon the OPC software to compensate increased non-linearity, proximity bias, corner rounding and line-end pullback. We have evaluated three leading model-based OPC software packages with 193 nm lithography on random logic poly gate designs for the 0.13 micrometer generation. Our analysis has been performed for three different OPC reticle write processes, two leading 193 nm resists and multiple illumination conditions. The results indicate that the maturity of the model-OPC software tools for 193 nm lithography is generally good, although specific improvements are recommended.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Assist features are recently employed in high density devices. But the application seems to be burdening to mask manufacturers. In this paper, considerations for making masks bearing assist features are discussed. A mask grid size, minimum resolution, CD linearity, pattern fidelity, and mask inspectability are among those considerations. For a 0.13 micrometer node, the grid size <EQ 5 nm (4X) is recommended according to our simulation. A high acceleration voltage (50 keV) e-beam writer is found to be a good tool for 0.26 micrometer (4X) assist features necessary for 0.13 micrometer node. A currently available inspection machine should give a good potential to detect defects on a 0.18 micrometer (4X) assist feature bearing mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sub-resolution assist features (SRAF) have been shown to provide significant process window enhancement and across chip line-width variation reduction when used in conjunction with modified illumination lithography. Work previously presented at this conference has focused on the optimization of sraf design rules that specify the predominantly one dimensional placement and width of assist features as a function of layout pitch. This paper will recount the optimization of SRAF style options that specify how SRAF are to behave in realistic two dimensional circuit layouts. Based on the work done to strike the correct balance between sraf manufacturability, CAD turnaround time, and lithographic benefit in IBM's early product implementation exercises, the evolution of sraf style options is presented. Using simulation as well as exposure data, this paper explores the effect of various two dimensional sraf layout solutions and demonstrates the use of model based verification in the optimization of sraf style options.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Currently, the 130 nm SIA node is being implemented at leading edge semiconductor manufacturing facilities. Previously, this node appeared to be the insertion point for 193 nm lithography. However, it is evident that for the majority of applications 248 nm will be the wavelength of choice. This once again raises the question how far DUV lithography (248 nm) will take us. To investigate this, overlay, imaging and productivity related issues have to be considered. Although these items become more and more linked at low k1-factors (e.g. overlay and imaging), this paper will focus on some of the imaging related topics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The first cost effective solution, to achieve a 100 nm gate with a 300 nm pitch, for ASICS manufacturing, is to validate a 193 nm technology using binary masks and weak OPC. This allows us to have zero defects mask with a relative short cycle time. In order to determine and minimize the CD dispersion resulting from the mask making process for ArF lithography, the following sources of errors have been studied: (1) Mask CD dispersion: the effect of CD dispersion was analyzed for different mask making processes (combinations of raster optical, raster e-beam and shape beam writers and dry and wet etch). Shape beam in combination with dry etch showed the best results in this study. CD dispersion at 1x of 3 nm is observed. (2) MEEF: the MEEF was determined using different methods and found to be 1.6 for a 300 nm pitch at 193 nm and NA equals 0.63/sigma equals 0.8. This value can be further improved when using quadrupole illumination or a higher NA. (3) Linearity and proximity effects on mask: the shape beam process shows better linearity and less proximity effects as compared to a raster tool based process. Without OPC correction, this difference is very important. The choice of the writing tool is less important with respect to proximity and linearity effects when using a model based OPC approach, since the effects are more or less systematic and can be compensated for. (4) Effect of quartz transmission at 193 nm: transmission variation at 193 nm of standard 248 nm quartz blanks is around three times higher than at 248 nm. This leads to a 3 nm CD variation, which is not negligible considering the 20 nm budget. A new type of blank is required. To achieve a 100 nm gate printing capability for low volume ASIC production a good understanding and control of all the steps in the mask process are needed. Furthermore, even if all these steps are well controlled, the total mask CD budget is still larger today than the budget indicated by the ITRS roadmap; 35% versus 30%.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As ArF resists mature, lithographers are pushing the imaging limits as far as possible. ArF lithography is getting ready for the 130nm technology node and currently even the 100nm node printability with ArF is being studied. Since high numerical aperture (NA) ArF scanners are not yet available in volume, strong enhancement techniques will be required to meet these challenging targets at lower NA (0.63NA). In this paper we give an overview of the status of 193nm lithography towards 100nm patterning of memory and logic front-end features, and explore the various enhancement techniques needed. One of the options is off-axis illumination in combination with either a binary or attenuated phase-shift mask. With the use of annular, quadrupole and even dipole illumination, process latitudes of dense and semi-dense features clearly improve as compared to conventional illumination. The main drawback here is the limited depth-of-focus for the isolated lines. A possible solution to this problem is the application of assisting features that makes the diffraction pattern of the isolated lines look more like dense lines. Another proven technique is the alternating phase-shift mask (altPSM) which is known to improve the process latitudes of semi-dense to isolated lines as compared to a binary mask. Design complexity and mask manufacturability are well known problems with altPSM. But issues as image misplacement and the sensitivity to lens aberrations at high coherent light are lesser-known drawbacks for this technique. In this paper we give an indication towards the preferred strategy when 100nm node critical front-end layers of various technologies need to be printed in 193nm. We look at the status of 193nm lithography using the most favourable enhancement techniques, indicating the possible drawbacks. We also indicate where high NA scanners may overcome the restrictions of lower NA lenses.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present results looking into the feasibility of 100-nm Node imaging using KrF, 248-nm, exposure technology. This possibility is not currently envisioned by the 1999 ITRS Roadmap which lists 5 possible options for this 2005 Node, not including KrF. We show that double-exposure strong phase- shift, combined with two mask OPC, is capable of correcting the significant proximity effects present for 100-nm Node imaging at these low k1 factors. We also introduce a new PSM Paradigm, dubbed 'GRATEFUL,' that can image aggressive 100-nm Node features without using OPC. This is achieved by utilizing an optimized 'dense-only' imaging approach. The method also allows the re-use of a single PSM for multiple levels and designs, thus addressing the mask cost and turnaround time issues of concern in PSM technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We studied lithography process of 0.31 k1 for DRAM device with KrF light source. DRAM device with 100 nm half-pitch design rule, which can facilitate 4 Giga-bit in a chip, can be patterned with the aid of super resolution enhancement techniques (SRET) and high NA (equals0.7) KrF scanner. The SRET includes the use of strong off-axis illumination (OAI) and attenuated phase shift mask (8% transmittance). In the case of using the SRET, those of very large iso-dense (I-D) bias from the optical proximity effect (OPE), narrow depth of focus (DOF) of (semi-) isolated features and existence of dead-zone in the peripheral circuit and so forth, are emerging as critical issues to be solved except the very fundamental lens aberration. These problems can only be solved when aggressive optical proximity correction (OPC) techniques such as selective bias and assistant feature to (semi-) isolated features are used for every critical layer of the device, where the OPC rules were generated from simulations and empirical experiments. Besides OPC techniques, close and cooperative approach of lithographers and designers is also necessary for the process oriented layout design especially to avoid the dead-zone that SRET generates. We have tried to customize the lithography process design for 0.31 k1 and finally obtained the common process latitude to make the full 4 Giga-bit DRAM device lithography feasible on this basis.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The feasibility of sub-100 nm patterning with ArF lithography has been studied. We used ArF 0.63 NA exposure tool and investigated process windows. In-house resist (DHA-H110) and bottom anti-reflective coating material (HEART004) are used as well as commercial ones. To print sub-100 nm patterns we used the resolution enhancement technology (RET) that is extreme off-axis illumination (OAI) such as dipole and strong annular. To predict the result and compare with experimental data our simulation tool HOST (Hyundai OPC Simulation Tool) based on diffused aerial image model (DAIM) was used. Although the infrastructure of ArF lithography is not mature enough, we got a good result. For 95 nm and 90 nm patterns we could get more than 8% exposure latitude (EL) and 0.3 micrometer depth of focus (DOF). For isolated gate pattern sub-70 nm pattern was printed and we have got the characteristics of 70 nm periphery transistor. For contact hole (C/H) patterns it was more effective to use KrF lithography because resist thermal flow process (RFP) can be used to shrink C/H size. With RFP we printed up to 50 nm C/H patterns. Through this study we found that k1 value can be reduced up to 0.29 and ArF lithography can be applied for 70 nm node with high contrast resist and high NA exposure tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Continuous downward pressure on chip size has led to aggressive ground rule shrink paths in the semiconductor industry, especially in the DRAM sector. Ever-decreasing feature sizes have necessitated the extensive use of attenuated phase shift masks, off-axis illumination, optical proximity correction, etc. For the foreseeable future, the ability to meet the demands of the design is closely tied to the extendibility of ArF lithography. This paper explores DRAM lithographic scaling by predicting required process latitude and depth of focus based on litho-graphic merit function scaling. This allows the predictions to be anchored against data collected on current products, as well as indicating the rate at which learning must occur for a ground rule shrink to be successful. Modeling of ArF extendibility is presented, with particular emphasis on the role of alternating phase shift masks. Additionally, simple signal-to-noise argu-ments are made in connection with the required process window for a given technology, taking into the account fundamental error sources of the process. The analyses are anchored to existing technologies wherever possible. The results indicate that ArF lithography will extend through the 90 nm technology node with a critical dependence on alternating phase shift masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To meet lithographic requirements for the 130nm generation, the influence of aberrations on printing of various patterns is investigated. This paper shows a process for patterns that are sensitive to coma and three wave. The aberration sensitivities are calculated and the effect on printing experimentally verified. This analysis leads to slight changes in lens adjustment strategy to accommodate the printing of specific DRAM patterns. Additional improvements in materials and surface figures, as well as reduction in process-induced aberrations and associated RMS wave front error, enable the production of tools that are capable of printing the 130nm device generation. The importance of collaboration between makers of lithography tools and their customers cannot be underestimated in finding tool specific limitations. Because of the length of the design cycle of lithography tools it is necessary to perform analysis of device patterns years in advance. The current work also indicates that patterns historically used to determine lens specifications, such as dense and isolated lines, are insufficient to fully determine lens specifications. This paper also outlines techniques that can be used to reduce aberration sensitivities by use of resolution enhancement techniques. This is another area where close interaction between vendor and customer is needed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, the miniaturization of the design rule pushes the pattern sizes in the peripheral region as well as cell region to the resolution limit of exposure tools. Therefore it is necessary to apply optical proximity correction (OPC) not only to the patterns in cell region but also to those in peripheral region. It is impossible to apply manual OPC method in peripheral region. Because the peripheral region is composed of random patterns with large data volume, and it takes too long execution time with manual OPC. For random pattern OPC in peripheral region, automatic OPC tool is required. Now for the automatic OPC tool, model-based and rule-based methods are developed for the commercial use. In this paper, the effectively applicable process is discussed using model-based method in automatic OPC at the sub-0.10 micrometer design rule in ArF lithography. For the application of automatic OPC tool at the design rule of sub-0.10 micrometer and ArF process in memory devices the following problem should be cleared. In small size of design rule, we should consider not only pattern fidelity but also process margin such as depth of focus (DOF) and exposure latitude (EL) at the cell OPC. But automatic OPC tool is insufficient to be applied for cell region OPC, because it considers not process margin but pattern fidelity and it has low accuracy using much approximation model to reduce layout correction time. To solve this problem, we suggest a full chip OPC process using both automatic OPC tool and the manual OPC method using the novel lithography simulation model (Diffused Aerial Image Model, DAIM). DAIM is available to predict wafer pattern and process margin of cell, its accuracy is verified in ArF process as in KrF process. We could see small standard deviation error between experiment and DAIM in ArF process using various line or space patterns, which is about 9 nm at binary intensity mask (BIM). So the manual OPC with DAIM resulted in the wide process margin and good pattern fidelity overcoming the limitation of automatic OPC tool. However it is necessary to correlate energy level of DAIM for cell region OPC with that of the model in the automatic OPC tool for peripheral region OPC, because cell and peripheral region are exposed with the same exposure dose in stepper or scanner. In case of ArF process, we could see the small difference of energy level and standard deviation error, which is about 1.4%, 2 nm at BIM and 6.3%, 3 nm at half-tone phase shift mask (PSM), between DAIM and automatic OPC tool. As the result of using DAIM and automatic OPC tool simultaneously at full chip OPC, we could see improved results from cell to peripheral region at the sub-0.10 micrometer design rule in ArF lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep-UV lithography using 248 and 193-nm light will be the imaging technology of choice for the manufacturing of advanced memory and logic devices for the next decade. The extension of 248nm technology to 0.150 micrometers and beyond has been accelerated with techniques, such as, Off Axis Illuminaton (OAI), Optical Proximity Correction (OPC) and Phase Shift Masks (PSM). Rapid development of such enhancements could provide a viable solution for the 0.13micrometers node. This continuous reduction of k1 to near 1/2 wavelength has intensified and issues related to Mask Error Factor (MEEF) have become a concern. Mask Error Factor, a phenomenon first discussed by Maurer et al., is defined as the CD Error at wafer level divided by the CD error at the reticle level multiplied by the lens magnification. The authors have been focusing on several key issues related to this high MEEF at various duty cycles. First, is the impact of MEEF across the entire exposure field for sub-0.15 micrometers imaging with KrF imaging. Secondly, the authors will discuss the coorelation between MEEF through pitch vs critical dimension with respect to partial coherence for bright and dark field imaging. Finally, the process window must be 'corrected' to account for across plate CD variation once the Mask Error Factor for a given critical dimension, pitch, reticle type, illumination condition and photoresist are determined. The authors will address the use of this new metric that can also assist in the specification of reticle CD's. Furthermore, we will address the various imaging solutions, briefly discussing how improvements in photoresist technology can assist and their impact on darkfield and lightfield imaging.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The periodic variations of dose-to-clear, reflection and CD with resist thickness are well known phenomena commonly known as swing curves. Proper process control dictates that the resist thickness is chosen at a swing extreme, so as to reduce dose variation. Swing curves are commonly calculated for normal incidence waves. The recent trends toward high NA optics and use of off-axis illumination introduce oblique waves into the swing curve problem. Significant shifts in the magnitude and the phase of the different swing curves are now possible, and these shifts depend on exposure illumination configuration. This paper will discuss the impact of oblique waves on the swing curve. Experimental swing curves for both 248 and 193nm resist processes will be compared with expectations from simulations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel multiple resist patterning stacks (MURPAS) method has been applied on the copper, low-k dual damascene interconnection. Trench resist structures are directly patterned on the top of via resist layer without the resist interface intermixing using a cross-linked, high thermal resistance, negative-tone resist a the bottom via layer. A single etch step can transfer these integrated patterns into low-k substrate with a simplified resist stripping process and reduced risk of resist contamination to the low-k substrate. The gap-filling procedure for the positive-tone trench resist process, to avoid the via resist residue and the topography issue on the high aspect ratio via etched substrate, can be eliminated as the negative-tone trench resist in the via is not cross-linked and easy to be resolved. The interfield trench CD and trench profile uniformity can be consistently controlled since there is less process variation for the trench patterning. Overall, this integrated process requires a thinner resist thickness for the dual damascene pattern etch with an enlarged lithography process window for the resolution limit, mask error enhance factor, DOF, and line edge roughness for both via and trench lithography. Applications of MURPAS methods on double-exposure resolution enhanced technology (RET) such as the rectangular cell array and the aggressive assistant features for trench and hole patterns are also be studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, logic device patterning of 0.16-micrometer trenches for the 0.13-micrometer node using 248-nm light and 0.13-micrometer trenches for the 0.10-micrometer node using 193-nm light is investigated. Severe proximity effect through all pitches and small depth of focus for isolated trenches bring great challenges. To produce manufacture-worthy process windows, lithographic techniques such as optical proximity correction, annular illumination, sub-resolution assist features, and attenuated phase-shift mask are considered. No prominent performance gain is achieved in the aforementioned combination if full-pitch-range performance is required. However, manufacture-worthy 0.5-micrometer depth of focus can be obtained through all pitches by replacing annular illumination with quadrupole illumination while retaining sub- resolution assist features and optical proximity correction, even without having to resort to attenuated phase-shifting mask. We also observe that attenuated phase-shift mask or dipole illumination improves depth of focus and photoresist profile of dense patterns only in the cases studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
On-product or 'output' dose and contrast variations (the temporal and spatial changes to image intensity and modulation captured in the developed wafer pattern) are the dominant contributors to across-field and across-wafer critical dimension (CD) variation. While the 'input' dose and focus degrees of freedom of step-and-scan tools offer the potential for improved CD control, its realization at low k1 depends on our ability to adjust tool settings such that the output contrast is maximized and the output dose is clamped to a desired operating point. Our paper describes our application of output dose and contrast, derived from the measurement of on-product targets, to the CD characterization of step-and- scan lithography at 150 nm ground rules and below. We demonstrate the means for simultaneous input dose and focus correction and quantify the consequent benefit to CD control.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithographic process fluctuations are treated as statistical values and reduced to effective exposure and focus error. The distribution function of CD is derived in the analytical formula in order to calculate CD yield which is important to device characteristics. The process fluctuations are represented as a summation of mean value for interval of process control and deviation from the mean value. New process window for the mean values is proposed using the CD yield. The process window expands when the frequency of process control increases. Quantitative evaluation is performed under the allowable CD yield.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents a methodology for calibrating projection printing imaging/resist models and applying the calibrated models to line-end shortening simulations in the presence of image imperfections. A scheme for extracting monochromatic representations of resist patterns from SEM pictures and comparing them with simulated images is presented. Based on this scheme, a 2-dimensional metric for evaluating the simulation performance is defined and a framework for tuning simulation models is built. The experiments were conducted on a 193nm scanner, with a binary mask whose CD's were measured to eliminate the mask error effects. Comparison of the simulated resist patterns to the SEM micrographs allows evaluation of various levels of physical assumptions on simulation models over the defocus range. Several models were evaluated to quantify the impact of lens aberrations and resist characters on pattern fidelity. Then the effectiveness of these models was further validated by applying the models to simulate small patterns. Aberration effects were found to be very distinctive and a tuned resist modeling was also found to be essential for small features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To describe complex acid/quencher interaction and their mutual diffusion in imaging with chemically amplified resist films, our acid-quencher mutual diffusion/quenching model is implemented to the fast resist image simulator. Accuracy better than 10-nm was obtained over wide varieties of 0.13- node metal-level pattern features. The model also suggested that diffusion of quencher, as well as that of acid, significantly degrades proximity effects and MEF.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new method is described for very accurate and rapid 3D simulation of alternating phase-shifting masks. This method for arbitrary 2D mask patterns is based on scalar imaging theory and is therefore much faster (200X or more) than rigorous 3D electromagnetic simulation. It is shown that an alternating phase-shifting mask can be decomposed into single openings and, subsequently, accurate scalar models for the single openings can be combined to give the complete mask result. The Fourier domain is found to be most suitable for the development of these accurate scalar models. A methodology for observing and modeling cross-talk between adjacent features in a phase-shift mask is introduced. The amount of cross-talk is found to be insignificant for mask technologies that are shallower than 90 degree(s)/270 degree(s).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Both mask design and quality of the projection optics have a large impact on the performance of a phase shift mask (PSM). Topographic features on the reticle such as etched trenches in alternating PSM produce a spectrum of the diffracted light which differs from that one of an infinitely thin amplitude/phase object, as it is assumed in standard imaging algorithms. Many authors have investigated the consequences of this phenomenon with respect to aberration free imaging. However, the diffraction of light from topographic features implies also a modified interaction between the mask and wave aberrations of the projector. Rigorous simulation of the light diffraction from the mask is combined with standard lithography imaging algorithms to explore the interaction of topography effects and wave aberrations. For example, the nominal shift of a phase edge in the final resist profile can result both from topography effects and/or from odd-order wave aberrations such as tilt and coma. The sensitivity of typical lithographic parameters with respect to topography parameters and typical wave aberrations is investigated. PSM are also used for the monitoring of aberrations. Neglecting the topography of these phase objects may result in a misinterpretation of aberration phenomena. Consequences of rigorous diffraction defects for the design and interpretation of phase objects in aberration monitors will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Besides halftone phase shifting masks (HTPSM) in combination with off-axis illumination alternating phase shifting masks (altPSM) are becoming more and more an important resolution enhancement technique. Their obvious benefits can only yield profit in production if certain mask properties like intensity and phase balance are controlled to a requisite extent. In order to achieve production capable masks within a reasonable time and cost frame simulation tools are of essential importance for mask development and manufacturing. Four our studies we employed solid-CMTM, a 3D EMF (electro magnetic field) simulator that handles arbitrary topographical masks. It is demonstrated by examples that these capabilities are mandatory for altPSM development. In this paper we discuss the effects of various issues relevant for development and manufacturing of altPSM on a basis of systematic 3D EMF simulations. For different balancing options sensitivity to phase errors, mask CD errors and pitch/feature size were investigated. Comparisons to 2D simulation are made for further illustration. We show the influence of certain mask errors on process window and draw conclusions for optimizing altPSM manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A methodology will be presented to use a state-of-the art lithographic simulator to simulate 2D mask patterns and to look at the impact of exposure dose, focus, local reticle CD error and aberrations. This methodology will be applied to a few isolated patterns and a few dense(r) patterns with 1 to 3 aspect ratio line segments. Two line-widths will be simulated with the accompanying illumination condition (130nm with annular and 100nm with quadrupole illumination) with 193nm wavelength and the results will be presented in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new method of measuring across pupil transmittance variation (APTV) is proposed. APTV measurement can be performed using a grating-pinhole (GP) mask, which comprises grating stricture in a small circular area, small-sigma illumination, and common wafer process. A measurement mark consists of twelve kinds of GPs with the same duty ratio, different pitches, and different orientations. First-order beams generated at GPs with the same intensity travel through the lens and reach the wafer, in which the relative intensities of first-orders are measured and compared. Transmittance distribution of 24 points across the pupil plane associated with approximately one image point is measured. In addition, exposure field dependency of APTV is measured by arranging some identical sets of GPs across the exposure field. We have successfully measured APTV for an argon fluoride excimer laser (ArF) exposure tool as a demonstration of this method. The measurement error of relative intensity due to mask fabrication error has been estimated to be less than 0.4%. The influences of APTV on lithography have been investigated. Simulation results indicated that unbalanced APTV causes asymmetric modification of a symmetric pattern or periodic pattern edge.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents analysis, modeling, and test results of a 193 nm systems designed to minimize the dose effects due to the transient absorption (TA) in fused silica at 193 nm exposure. Fused silica is a material widely used to build optical systems for DUV lithography. Different types of fused silica have been subjected to thorough theoretical examination and testing in the leading laboratories of the world -- IBM Almaden Research Center, MIT Lincoln Labs, Laser-Laboratorium Gottingen and others. One of the most important effects discovered in some types of fused silica, was the TA demonstrated at 193 nm. Pulsed laser illumination may cause a substantial temporary decrease of the sample transmission. After the laser is shut off, the transmission recovers approximately to the initial value. Research results published over the last decade demonstrated that not only the magnitude of TA but also the time constant are functions of fluence. These transients can cause substantial dose variations, making the exposure process unstable and reducing the process yield. SVG manufactures high precision tools for critical-layers lithography where dose stability is crucial. Therefore, the TA was modeled and tested at the system level (laser illuminator and projection optics) to predict behavior of the optical elements in different exposure regimes. This paper presents the theoretical description of the behavior, results of computer simulation and testing for a multi-element system. Criteria of the systems engineering decisions allowing minimization of the TA impact on the dose control are discussed in the conclusion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we study the effects of changing the operating laser wavelength on the projection lens aberrations of KrF and ArF scanners as measured by the Litel In-Situ Interferometer. Specifically, we quantify the change in 28 individual Zernike coefficients as a function of wavelength as well as the total RMS. Effects on Zernike's exhibiting a field dependent behavior are described in detail. We convert the Z4 terms to Z positions to estimate the displacement of the image plane, and we identify a new chromatic distortion term. Finally, we input the measured wavefronts into a lithographic simulator to estimate the full effects on image placement error.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
From 1970s IC industry has made dramatic progress due to the advancement of photo- lithography technology. At the beginning of the 21st century, photo- lithography technologies are still acting the major role of manufacturing the leading edge devices. The requirement for the higher resolution is pushing up the NA of the projection lens. As the result, DOF becomes shallower and the focus budget becomes tight. Close study for the focus error impact to CD variation becomes more and more important. A method to predict focus error induced CD variations resulting from dynamic wafer scanning has been developed. CD variations across an exposure image field are calculated from a CD lookup table that relates a CD value to the monitored focus error components.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Aberration sensitivity of alternating phase-shifting masks (PSMs) can be reduced by taking advantage of the trim exposure. Rather than a single phase region bordering each edge of a line, the enhanced alternating PSM technique uses multiple phase regions. The number of phase regions and their widths can be optimized for overall process tolerance including aberration sensitivity and exposure latitude. For exposure with a wavelength of 248 nm and a numerical aperture of 0.68, the optimal number of phase regions is two, with widths between 100 nm and 200 nm. These auxiliary phase regions do not affect the final pattern if a light-field trim mask is used. No extra processing step is necessary. With the enhanced alternating PSM technique, isolated lines of average dimension as small as 36 nm can be delineated using 248 nm lithography with a 3(sigma) linewidth control of 13.4 nm. The mean critical dimension of 36 nm corresponds to k1 equals 0.1.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The extendibility of alternating aperture phase shifting masks (AAPSM) is investigated using reticle topography simulation. Aerial image measurements with an ArF AIMS tool are used to calibrate the simulated performance of the AAPSM. Simulations are performed for several illumination conditions through pitch allowing understanding of reticle performance that will be required to reach the 70nm node. Simulation convergence, speed, and memory requirements are also reported on for Solid CM. Experimental AIMS data are analyzed to extract the effective phase through pitch for several etch targets. These phase measurements are compared to simulations using AFM characterization of the same mask. Simulated aerial images through pitch are directly compared to those measured on AIMS. Qualitative agreement between AIMS and simulated images is obtained, but the contrast of the AIMS images is consistently lower. Image balance sensitivity, phase error sensitivity, and quartz sidewall angle sensitivity are defined and examined to identify performance limiting aspects of implementing AAPSM for the 70nm node over a range of pitches. Example phase sensitivity values are derived using mask topography simulation and used to define phase error specifications. AIMS and mask topography simulation show that smaller pitches and spaces are more sensitive to etch depth variation. Simulation also reveals quartz sidewall angle variation results in an apparent phase error where an under-cut behaves like an over-etch and inward sloping sidewalls behave like an under-etch. A correction to the well known etch target formula is proposed to account for sidewall angle variation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase shifting mask (PSM) is a method widely known that can enhance optical resolution. Previous work has shown that attenuated PSM with off axis illumination and dark field alternating PSM with double exposure are the two most prominent methods. Both have been shown to print pattern smaller than 0.1 micrometer using KrF. However, using this technique in manufacturing can run into issues on unacceptable overlay error, stronger proximity effect, highly complicated layout, and with much lower wafer printing throughput. In this paper, we introduce a hybrid PSM design and we have characterized the performance by using both simulation and actual wafer data. Our approach is to combine alternating and attenuated PSM into one mask design. For mask making, we have elected to use a high transmission, phase shifting material that coupled with quartz etch process. Several feature types we studied. Of those, poly-gate pattern for both ASIC and DRAM were demonstrated. Data preparation and mask making technology have both been taken into consideration in our analysis. By using this hybrid PSM, sub-0.1 micrometer pattern could be printed with single KrF exposure and with much reduced layout complexity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed an 80nm poly gate patterning process for 0.13 micrometers VLSI manufacturing using 248nm lithography with double-exposure phase-shifting technique. We show that: Systematic intra-field line width variation can be controlled within 6nm (3(sigma) ), and total wafer variation across the wafer held to within 10nm (3(sigma) ), with good line-end shortening control for gate endcaps. The k1 factor is < 0.2 (80nm target gate length in 320nm pitch).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Gate patterning has always been held to tight specifications for CD variation compared to other layers. Specifically, the gate layer is more concerned with the total CD variations including Across Chip Linewidth Variation (ACLV), Across Wafer Linewidth Variation (AWLV), CD variation through pitch (Proximity bias), than other layers. Therefore, complementary phase shift (c:PSM) imaging has been introduced at the gate layer under the assumption that it will reduce the total CD variation compared to binary imaging. However, c:PSM data conversion of random logic can introduce additional biases that also impact CD control. These new biases include CD variation as a function of shadow size, reticle-to-reticle overlay error, shifter width, and shifter height (a function of the transistor width and the shifter extension). This paper will show the improvements in ACLV and AWLV using c:PSM. This paper will also look at the increase in the proximity bias for c:PSM compared to binary imaging and show results for implementing a 1-D OPC correction on the phase shift reticle. In addition, this paper will also look at the magnitude of the various additional c:PSM biases mentioned. This paper will discuss the interaction of the different phase shift conversion input parameters for complex random logic and the limitations they impose on how tight we can make the final CD distribution. Finally, since c:PSM allows for selective sizing of CDs over active and over field, a brief discussion will also be given for the CD control of the complementary binary reticle.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As sub-half wavelength optical lithography is pursued, the variety of imaging requirements increases. This can result in complex combinations of various resolution enhancement techniques. Optimization based on simple standards or rules is not possible. Although the goal is to design processes so that enhancement approaches work cooperatively as manufacturable solutions, it is often an overwhelming task. Problems often arise as analysis is carried out in a spatial domain, where mask and image properties are evaluated using sizing or dimensional evaluation. A more appropriate perspective for image optimization is that of the lens pupil, in a spatial frequency domain. In this paper, we describe the common characteristics of resolution enhancement, beyond the historical comparisons of alternating PSM and strong OAI. Enhancement techniques including assist feature OPC, custom illumination, attenuated PSM, and pupil filtering are described from a spatial frequency standpoint where each can be utilized to take advantage of strengths and avoid weaknesses. As a result of this type of analysis, we will also describe an alternative OPC method where assist features of varying tone, referred to as Gray Bars, provide for significant image improvement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New degrees of freedom can be optimized in mask shapes when the source is also adjustable, because required image symmetries can be provided by the source rather than the collected wavefront. The optimized mask will often consist of novel sets of shapes that are quite different in layout from the target IC patterns. This implies that the optimization algorithm should have good global convergence properties, since the target patterns may not be a suitable starting solution. We have developed an algorithm that can optimize mask and source without using a starting design. Examples are shown where the process window obtained is between 2 and 6 times larger than that achieved with standard RET methods. The optimized masks require phase shift, but no trim mask is used. Thus far we have only optimized 2D patterns over small fields (periodicities of approximately 1 micrometer or less). We also discuss mask optimization with fixed source, source optimization with fixed mask, and the re-targeting of designs in different mask regions to provide a common exposure level.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sub-100 nm line pattern is easily formed with DOF larger than 0.9 micrometer by mature lithography technology in KrF wavelength. It is discovered by optical image calculations that a dark mask line between two bright mask lines with each dimensions of 0.20 to approximately 0.14 micrometer (measured on wafer scale) can be imaged with very fine width under a modified illumination. Also, at some conditions, iso-focal CD characteristics are observed for the very fine line image. The validity of this calculated characteristics is confirmed by experiments. The fine dark line pattern with the width finer than 100 nm is formed by the application of the image generated by this method. Moreover, the patterns formed by this method show high exposure latitude, low MEF and high immunity to lens aberration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Binary halftone chromeless PSM (CLM) can be described as a 100% transmission attenuated PSM (attPSM). The term 'binary halftone' refers to a novel OPC application to achieve the necessary CD control across the full feature-pitch range. We find that CLM is very complimentary -- with high numerical aperture (NA) and with off-axis illumination (OAI). In our wafer-printing experiment, we have achieved 70 nm through- pitch printing performance, using a KrF resist process. This was done in combination with a rule-based SB-OPC approach. At least 0.4 micrometer overlapped DOF with more than 6% exposure latitude has been attained for sub-100 nm printed features. For 2D complex patterns, we have observed a very strong optical proximity effect. CLM appears to be more sensitive to proximity effects, but less sensitive to lens aberration effects. Further experimentation and verification is required. Current mask-making processes appear to be capable of manufacturing CLM. We conclude that CLM has great potential to achieving production-worthy (lambda) /4 (or 0.2k1) lithography. The technology risk is neither in mask making nor in application software, but may be in reticle inspection and repair.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
ASML's recently announced TWINSCAN$TM) lithography platform is specifically designed to meet the specific needs of handling and processing 300 mm substrates. This new platform, already supporting a family of Step & Scan lithography systems for I-line and 248 nm DUV, is designed to further support optical lithography at its limits with systems for 193 nm and 157 nm. The conflicting requirements associated with higher productivity on one side, and more extensive metrology on the other, have led to the development of a platform with two independent wafer stages operating in parallel. The hardware associated with exposure, and the hardware and sub-systems required for metrology, are located in two separate positions. While a wafer is exposed on one stage, wafer unload/load and measurements of the horizontal and vertical wafer maps are done in parallel on the second stage. After the two processes are completed, where the exposure sequence typically is the longest, the two stages are swapped. The process is continued on the second stage, while the first stage unloads the exposed wafer and starts the process again.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we review our newly developed high NA projection lens for KrF scanner (NSR-S205C.) The projection lens has 0.75 NA and small residual aberration. In a designing step, aspherical surfaces are utilized to decrease lens dimensions without degradation of the lens performance. Actual lens performance, which includes wavefront aberration, distortion, image plane flatness and resist profiles, is reviewed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Microlithographic objectives have been developed for deep ultraviolet and vacuum ultraviolet wavelengths used for printing and inspection applications related to microlithographic processes. Refractive and catadioptric design solutions using fused silica, calcium fluoride and other crystals are discussed. Several reflective and catadioptric design forms having central obscurations will be compared to refractive forms. Design complexity, performance and limitations are compared.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High output power and ultra-narrow bandwidth has been the development goals for the 193 nm lithography excimer lasers in the last years to support the throughput and requirements of advanced 193 nm wafer scanner. Cost of ownership comparable to current 248 nm lithography wafer scanner is one of the important prerequisites for the economic implementation of 193 nm lithography in production. In this paper we present the performance results of our new high power ArF lithography excimer lasers with repetition rate of 4 kHz. The laser delivers a stabilized output power of up to 40 W. The spectral laser output is matched to the requirements of high NA catadioptric imaging lenses with a bandwidth of less than 25 pm, FWHM. The new laser delivers energy dose stability of less than 0.35% for a 50 pulse dose window. Second part of the paper gives the status of the ultra-narrow bandwidth 193 nm excimer laser for refractive imaging lenses. A spectral bandwidth of less than 0.35 pm, FWHM, has been achieved with a spectral purity of less than 0.95 pm. Results from the laser operating at 2 kHz are presented. The performance characteristics at of he line-narrowed 193 nm laser with 4 kHz repetition rate are presented. Finally an outlook on the achievement of ultra-narrow-bandwidth 193 nm excimer lasers for micro-lithography will be given.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper examines the control of optical aberrations in an advanced step-and-scan system that uses catadioptric projection optics. Optical lithography will be required to print pattern features down to the 50 nm range. To achieve 50 nm, optical lithography will need to operate at K-factors approaching 0.25 using 193 nm and 157 nm wavelengths with extremely high numerical apertures. Controlling aberrations in the optics will be critical to advanced lithography tools. The significant advantages of catadioptric compared with refractive optics are highlighted. In a catadioptric system, the pupil plane of the optics can be close to a mirror plane; this allows the unique capability to directly access the pupil plane for aberration measurement and adjustment. This paper demonstrates how a catadioptric step-and-scan system uses pupil-plane engineering to reduce aberrations to new levels, lower levels than ever possible before. The aberration control is demonstrated for the advanced optical techniques that are required to support K-factors approaching 0.25. Aberration control for 100 nm lithography on a 0.75NA 193 nm system is reviewed, and pupil-plane engineering is demonstrated. Pupil planes are mapped, and the aberration sensitivities are indicated. This paper concludes that wavefront engineering is the shape of things to come in the world of advanced lithography and system matching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As feature size decreases, factors like lens aberrations and pupil illumination become increasingly important for the lithographer. Both factors can be quantified using the Transmission Image Sensor (TIS), a built-in measurement device on ASML Step & Scan systems. Advantages of the TIS include robustness and speed, because it is a straightforward measurement technique that does not involve exposure of resist. To allow for on-site minimization of lens aberrations, new lens types will incorporate additional manipulators. Consequently, fast and accurate in-situ measurement of aberrations such as coma and spherical is required. This is achieved by measuring the position of the aerial image at multiple illumination settings, using the flexibility of modern scanners to automatically set the numerical aperture and partial coherence. The accuracy of this technique, proven by strong correlation with interferometric and lithographic data, makes it suitable for system optimization. Regarding measurements of pupil illumination, the new TIS-based technique is compared with the conventional resist-based method. The latter images a defocused pinhole in resist, and is ideal for visual inspection. For the new method, the TIS is scanned in a defocused lateral plane to record the far field image of the pinhole. Quantitative results like pupil uniformity, ellipticity and sigma accuracy are easily obtained.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the semiconductor industry accelerates the pace of change, a shift in exposure wavelength from 248 nm to 193 nm becomes inevitable. Correspondingly, the change to a shorter wavelength and the desire to maintain productivity, necessitates a fundamental reassessment of system design approach. Evaluation of resolution and k-factor for a lithographic tool operating at 193 nm and 0.75 numerical aperture indicates that 130 nm node production will be manageable with binary mask, and that performance consistent with 100 nm node requirements and potentially beyond will be achievable with the use of advanced lithographic techniques. This paper reviews the design, system performance analysis and early results for a full-field catadioptric lithography tool operating at numerical apertures up to 0.75 NA.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Step and scan exposure tools for 300 mm wafers are being introduced to more fabs aimed at volume production of semiconductor devices with sub 150 nm features. We have developed a KrF scanner for 130 nm applications, and an ArF scanner for the 110 nm generation. High NA, ultra-low aberration projection lenses developed for these tools provide imaging performance that meets requirements of the respective generations, with improved critical dimension (CD) uniformity and low distortion. These achievements have resulted from lens manufacturing that employs higher order Zernike coefficient lens tuning. The scanners incorporate a common platform designed to meet increasing accuracy requirements in 130 nm and 100 nm processes. Compared to previous models, the new platform reduces the impact of vibrations on the floor by receiving the stage drive reaction force with a structure of high damping capability. The wafer stage mounted on the platform simultaneously improves throughput and synchronization accuracy, through increased rigidity of its mechanism. A higher magnification alignment scope with shorter baseline is introduced to achieve high overlay accuracy. We also incorporate a new type focus sensor with self-thermal compensation functionality to improve focus-leveling performance. This paper explores the effects of moving standard deviation (MSD) upon CD uniformity and contrast, and proposes a measure to evaluate the effects on a quantitative basis. It also attempts to clarify synchronization accuracy budget for each technology generation, and introduces a new platform that can satisfy the accuracy required for the 100 nm generation and beyond. The proposed platform can remarkably enhance synchronization accuracy and throughput with wafer and reticle stages of high control performance and high-efficiency high-output linear motors. For this platform, we have developed a new mechanism that cancels the stage drive reaction force within the system and prevents it from being transferred to the floor. This paper shows that applying this system not only improves stage performance but also allows permissible vibration level of the floor to be considerably relaxed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is widely expected that 193 nm lithography will be the technology of choice for volume production of the 0.10 micrometer device generation. For this purpose the PAS5500/1100TM Step & Scan system, the second generation ArF tool, was developed. It is based on the PAS5500/900TM, the body of which has been adapted to fit the new 0.75 NA StarlithTM projection optics. This high NA enables mass manufacturing of devices following the 0.10 micrometer design rule. The system features a 10 W 2 kHz ArF laser and the AERIALTM II illuminator that can be equipped with a QUASARTM (multipole) option. In order to minimize wafer processing influences on overlay performance ATHENATM off- axis alignment with phase modulator is implemented. The usage of Reticle Blue Alignment will further improve overlay as well as increase the system stability. In this paper the PAS5500/1100TM system layout is discussed and the first imaging and overlay results are presented. Imaging performance is illustrated by SEM pictures of 0.10 micrometer dense lines, 0.15, 0.13 and 0.12 micrometer dense contact holes, 0.10 micrometer DRAM isolation patterns, image plane deviation and system distortion fingerprints. Alignment reproducibility and single machine overlay results demonstrate the overlay capability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The higher numerical aperture ArF scanning exposure tool was developed with completely new platform for further 100nm technology node. This new tool is designed and manufactured with wave front aberration controlled projection lens by using new phase measurement interferometer system, enhanced illuminator structure technology to optimize many various conditions in device production, higher power light source ArF excimer laser and higher speed stages in order to achieve enough required productivity of devices in this node. Not only for the run rate but for the finer accuracy in stage systems and focus control system were involved in this new platform. The actual imaging and accuracy performance will be shown and discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Understanding Molecular Contamination in Lithography: Joint Session
Purging and contamination are two important issues that need to be treated in order to realize F2 laser lithography system. For the purpose of developing gas-purging and chemically clean technologies, we designed and constructed an experimental set-up. It is used for the study of purging and out-gassing evaluation in order to obtain useful data for development of exposure system. Preliminary experiments showed that purging condition has a strong effect on the residual oxygen and water concentration in the final gas-replaced atmosphere. And we have found that the amount of out-gas depends on the surface finish method of the material used through analyses of impurity gas examination with or without laser irradiation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A UV-lamp-based cleaning station, serving as a load-lock to a VUV spectrometer, has been used to evaluate the cleaning of hydrocarbon residues on 157-nm reticles. UV lamp based cleaning is found to be an effective tool to remove both nanometer scale layers of physisorbed and significantly more resilient highly conjugated 'graphitized' layers on the mask substrate. Slight changes in reflectance and surface roughness are observed on the chromium absorber indicating some degree of photo-oxidation is occurring during lamp cleaning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Airborne molecular contamination monitoring and control historically meant filtration of molecular bases such as ammonia and NMP since the introduction of DUV processes at the 250 nm node. Until recently, equipment manufacturers and photoresist suppliers have primarily focused on molecular base induced resist degradation. Improvements in resist chemistries combined with new robust filtration and molecular base monitoring have allowed device manufacturers to process wafers with few concerns about yield loss due to T-topping and related resist problems. Today the industry has moved to the implementation of real-time molecular base monitoring for improved process control. As device manufacturers move production to 180 nm geometries and pilot lines develop 130 nm processes, issues of resist protection are joined by the potential degradation of lithography system optical components due to deposition of condensable organic molecules. This issue is of particular concern with the introduction of 193 nm exposure systems. The higher energy ArF source increases the probability of these and other contaminants reacting and forming films on exposed optical surfaces. Solutions for the KrF generation have already been extended and supplemented with a new generation of filtration and monitoring. As device geometries shrink, real-time molecular base monitoring is being introduced into photo tool enclosures to help process engineers better understand the impact of resist contamination relative to a few nanometers of shift in CD's and implement procedures to insure high yields are maintained and CD control is tightened. Powerful molecular base filtration complements the resist suppliers' efforts to improve resist sensitivity to molecular bases. In the latest exposure tools hybrid filtration is being introduced to remove condensable organic contaminants specifically to protect the costly optics used in 193 nm steppers and scanners. Studies have been conducted to extend filtration to include acidic species. Results of these programs are presented and potential solutions for future device generations are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Contamination rates of CaF2 substrates in the presence of trace levels of toluene vapor and oxygen under 157-nm irradiation have been studied to determine conditions which prevent contamination films from depositing on optical elements in lithographic projection systems. A 2 - 3 monolayer thick deposit, causing a 1 - 2% transmission drop per surface, can readily form over a range of contaminant levels in the sub-ppm range and typical background oxygen levels. In addition, stable partial surface coverage can be supported with either lower concentrations of contaminant or conversely much higher levels of oxygen. Contamination rates are also higher at lower fluences, and thus contamination effects are expected to impact the projection optics more severely than beam delivery and illumination components. Finally, a permanent degradation in transmission of coated optics has been observed on anti-reflective coatings exposed to sub-ppm levels of toluene. Taken together, the results suggest that even with hydrocarbon based contaminants, where oxygen can be introduced into the beam-line in trace levels (i.e. hundreds of ppb) without significantly degrading transmission, toluene contaminant levels will have to be maintained in the ppb range or below.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper discusses a new PSM algorithm to generate a pair of sub-mask patterns to achieve 2-D random patterns by double exposure. Test layout was automatically decomposed into two sub-PSMs with about 190 steps of geometrical operations including simple OPCs. Both simulation and experiments showed that 0.28-micrometer pitch random wiring is achieved with our method, suggesting local wiring at 70- (50-) nm node logic LSIs combined with ArF (F2) exposure tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To improve the CD controllability of isolated lines, we have developed a new method using multiple-focus exposure in alternating phase-shift lithography. In this paper, the imaging performance such as DOF, exposure latitude, mask linearity, and CD controllability is discussed through both experiments and simulation. Multiple-focus exposure experiments were performed using a KrF scanner by giving a tilt offset between the image focal plane and the wafer leveling plane. For the conventional alternating phase-shift method, the CD-focus curve showed a strong concave shape and thus the DOF was rather small. By applying multiple-focus exposure, the shape of the CD-focus curve changed from concave to flat, and therefore the DOF was much improved. We have also found that the CD controllability considering focus errors can be improved by our method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The impact of lens aberration on linewidth control and pattern shift is investigated with aerial image simulation using Zernike sensitivity method through focus to secure sufficient depth-of-focus (DOF). We found 0 and even theta component has large amount of impact on linewidth control in defocused condition due to best focus shift. This phenomenon makes degradation of DOF performance. For actual phase shift mask (PSM) application, DOF performance is very important, so as to reduce the focus shift by lens aberration, and the lens is controlled with pre-correction of best focus deviation in the field. This method is effective to obtain large DOF with PSM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we will discuss the results obtained from five alternating aperture phase-shifting masks (altPSM), each with an identical layout but manufactured using a different technique. We will show the results obtained for mask CD performance measured on a SEM for a number of dimensions and duty cycles. We will show how the results obtained from conventional mask metrology compare with results from advanced analysis including mask topography information obtained using an automated atomic force microscope (AFM). Comparison will be made showing how the metrology structures on the mask compare to the actual structures in the patterning area. A comparison of the results achieved from each mask manufacturing technique will also be made.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Our previous work showed that for 100nm lines, the Sidewall Chrome Alternating Aperture (SCAA) mask structure could overcome the problem of transmission and phase imbalance among multiple pitch structures. In that work, we explained the SCAA mask concept, showed a brief electromagnetic field (EMF) simulated comparison to two subtractive etch techniques and proposed a fabrication paradigm that could make SCAA a reality. What we did not show, however, was the detail of our EMF simulation work for any of these masks. Our current work provides this missing item and explores across pitch performance at 248nm wavelength for several masks meant to optimize alternating phase-shift (altPSM) mask phase and transmission: SCAA, asymmetric lateral biased, additive, undercut, dual trench (with and without undercut), mask-phase-only, and uncompensated. First, we discuss why vector electromagnetic field (EMF) simulation is necessary. Then we describe a typical optimization approach. There we describe how two simulators, ProMAX (FINLE Technologies, Inc.) and TEMPESTpr (Panoramic Technologies), were set up to reduce grid snapping and other simulation pitfalls, as well as EMF output analysis and topography optimization techniques using one mask type as an example. The optimization approach was to find the best topography for the 100:200nm line:space mask of each type according to the phase and transmission errors extracted from the EMF simulated diffraction orders. Because phase and transmission errors in an alternating PSM are both coupled to the existence of a non-zero central diffraction order, we screened mask topographies according to the zero diffraction order power, relative to power in the first orders. Monitoring the central diffraction order did prove be a useful technique for optimizing topographies because it is a single attribute that correlates to both phase and transmission errors, which are coupled and thus difficult to optimize concurrently. The same topography adjustments from the 300nm pitch optimization were then applied through pitch with fixed 100nm line. Next we summarize the EMF results for each mask compensation technique. Mask types were ranked according to best sum of central diffraction order power through pitch, effectively ranking phase and transmission performance across pitch by mask type. The highest ranking masks were SCAA (with 15nm ARC on chrome and no topography adjustments from ideal) and the asymmetric biased mask (with no ARC but with 40nm increase in each side of shifter space width at mask scale). The lowest performing masks were dual-trench (mainly because of phase errors) and the unadjusted mask (mainly due to transmission errors). Finally we move from EMF to lithographic simulation of the best two masks according to EMF simulation. For SCAA and asymmetric bias we examine the NILS and MEEF (with line size 90nm, 100nm, and 110nm) for 300nm pitch. Responses for the process window analysis include resist linewidth, resist retention, sidewall angle and feature placement. The analysis showed that SCAA and optimized asymmetric bias had identical NILS through focus, but that image CD was less sensitive to focus on a SCAA mask than on an asymmetric biased mask. The MEEF results were 0.9 for both masks, while SCAA had better depth of focus than the asymmetric biased mask for single line sizes. While the asymmetric biased mask is simpler to build with existing mask production processes, it requires EMF simulation to determine optimum topography (as do all the other compensation techniques in this study). SCAA requires a non-standard chrome deposition, but performed well according to lithographic simulations without any EMF simulation and topography adjustment. Both SCAA and asymmetric biased masks, it should be noted, did not require any undercut. Future work aimed at the most promising altPSM mask types is needed to further quantify sensitivity to expected fabrication variations and to gain experience with physical wafer prints.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Besides assist features in combination with HTPSM (half-tone phase shifting mask} and off-axis illumination altPSM (alternating phase shifting mask} is the major resolution enhancement technique to extend optical lithography to low k1. AltPSM in addition has the potential of superior CD control. However to achieve this in production altPSM has to fullfil a number of specifications with respect to phase and transmission. Another important aspect to obtain maximum CD control and overlapping process window for all kinds of structures at different pitches is that the phase shifters need to be optimized. Optimizing shifters by means of simulation results provides valuable input for both setting up design rules for altPSM application and for development of OPC strategies and software. Therefore various systems with different widths of lines and shifters were studied with special focus on basic asymmetric cases. We applied Solid-CM TM, a 3D EMF (electro magnetic field) simulator for our studies. Some results obtained from simulation were experimentally verified by wafer printing results (SEM imaging and CD measurement}. In addition, comparison to 2D simulation results clearly allows the determination of cases in which 3D effects have to be taken into account. The effect of varying shifters is monitored by pattern placement and process window analysis. We apply this investigation to develop solution strategies and to optimize shifter dimensions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Complementary phase shift processes (c:PSM) have shown great promise for practical implementation of alternating phase shift technology. The incorporation of both binary and phase shift masks into a single resist process requires careful consideration of the illumination conditions. Modeling studies examined the impact of the numerical aperture (NA) and partial coherence (PC) on the depth of focus and exposure latitude of a typical DUV resist process. Experimental verification of the modeling results identified optimal NA/PC conditions for both independent and common mask illumination conditions while demonstrating the utility of lithography simulations for NA/PC optimization in c:PSM processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A double exposure using mutually one-pitch-step shifted alt. PSM's is proposed to eliminate the LICD and CD reversal. By doubly exposing mutually one-pitch-step shifted alt. PSM's, the LICD and CD reversal is observed to disappear. The phase and undercut margins of 8° and 40 nm are observed, respectively by simulation for 1 .2 jim DOF margin. The alignment tolerance is calculated to be 75 nm which is enough for considerring recent lithographic systems. By doubly exposing mutually one-pitch-step shifted alt. PSM, almost identical CD's of 141 nm and 142 nm are measured. The phase margin of 15 0 (from 169° to 184°) and the undercut margin of 50 nm (from 100 nm to 150 nm) are observed for DOF margin of 1.0 rim. Our double exposing technique prove to have advantages over alt. PSM not only in removal of LICD and CD reversal, but also in the phase and undercut margin.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the feasibility of lOOnm-node lithography using ArF lithography and att-PSM (aUenuated Phase Shift Mask). In the simulation approach, we can find that att-PSM can improve EL window more than 25%compared to BIM (Binary Intensity Mask) in both KrF and ArF lithography. Although the MEF (Mask Error Factor) values of att-PSM and BIM are almost same even in a higher NA region, the total CD variation of aU-PSM is slightly lower than that of BIM because of the increase effect of EL window. Considering the total CD variation, it is necessary to use the ArF lithography machine with higher NA of more than O.7ONA for lOOnm patterning. In the real patterning performance, the ArF lithography and att-PSM can improve EL windows more than 60% in comparison with KrF lithography and att-PSM for sub-l2Onm cell patterns. The case of att-PSM and annular aperture condition, especially small ring width annular condition shows the increasing effect ofprocess windows compared to BIM for lOOnm L/S patterns. For the direct C/H printing below l2Onm feature, we can get about 9% EL window in the case of l2Onm C/H feature. Although we have some technical issues for lOOnm lithography such as the controllability of MEF and EL window extension, the lens quality enhancement for the higher NA and manufacturing defects of att-PSM, etc., there is a sufficient feasibility to obtain lOOnm-node pattern with ArF lithography and att-PSM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel resolution enhancement technology (RET) for random pattern formation which utilizes attenuating non-phase-shift (Atten-NPS) assist pattern is proposed based on optical image calculation. By addition of Atten NPS assist pattern whose size is comparable to that of main pattern, much improvement of imaging characteristics is obtained for isolated feature under modified illumination. Modified illumination is optimized both for hole and line pattern. Also, transmission of Atten-NPS aperture is optimized to enhance imaging characteristics and not to be printed on resist. In the application of this RET, aperture size of assist pattern on mask can make similar to that of main pattern. Consequently, difficulty in mask fabrication for conventional assist pattern method , such as pattern delineation and defect inspection, will be overcome.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, the performance of 6% and 18% attenuated phase-shifting masks (PSM) are investigated to assess their capabilities of printing O.12tm and O.lOjim polysilicon gates, using a 248nm scanner with a high NA of 0.68. The effect of off-axis illumination on process enhancement is also investigated. Simulations were done using PROLITHI3D Version 6.1.2. Experimentation was carried out using test masks with various line pitches. The effect of optical proximity correction (OPC) to enhance the overlapping process windows for 0. 12pm and O.1Otm was also studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a novel Si-based composite thin film for attenuated phase shift mask(APSM) applications at 193/157 nm wavelength. The fabrication involved sputtering deposition, either with dual target or a single composite target. At 193 nm, these thin films show tunable optical transmission and good stability against long term radiation, common chemicals used to strip photoresist, and exhibit good dry etch selectivity to quartz. Specifically, a film with initial transmission of 5.72%,the total increase oftransmission was 0.27% for doses up to 5.4 kJ/cm2. Also, the increase of transmission was 0.19% after 60 mm of cleaning treatment in acid based solution (H2S04H20210:1 at 95°C). The dry etch selectivity over fused quartz was greater than 5:1. The transmission of the films at 193 nm can be tuned from 0 % to 20 % by varying the thin film composition, process gas flow and composition, and deposition pressure. This wide transmission window provides the possible extension down to 157 nm wavelength.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Using transmissions higher than 6% some benefits been demonstrated for gate and contact levels with 248nm lithography (Ref. 1,2,3,4). Dense line/space features benefit most from high transmission with conventional illumination and low coherence was demonstrated with tri-tone (Ref.1). This continues the study of benefits with increasing transmission, on contact features. Reticles were manufactured for 248nm Lithography at 6%, 9% and 18% transmissions without the third layer, chrome. Only one dimensional proximity correction was incorporated in the mask design. Extensive mask metrology for CD control, CD linearity, surface quality, Phase and Transmission control across patterned area was carried out to understand the mask infrastructure capability. Simulation projections were verified by imaging analysis. Through pitch Depth of Focus (DOF) and Exposure latitude (EL) data was collected.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask materials are the often-overlooked link in the lithography chain. Chipmakers in general, have no idea of the optical properties of the mask substrate material that is being used to build his critical layer mask. By analyzing properties such as stress birefringence, transmission uniformity, index of refraction homogeneity, and certain laser damage properties it was found that a wide variation exists within the available population of mask substrate materials. Testing the impact of materials on a lithography tool demonstrated the wide range of performance that can be obtained from a population of mask substrates. For example, dose to clear tests gave results that ranged from 3.15mJ/cm2 to 3.25mJ/cm2 with dose non-uniformity ranging from 2.00% to 5.25%. This paper will discuss the tests that were made and the results to date. Due diligence on the selection of material substrates is required.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To reduce mask error enhancement factor (MEEF), we have developed the new type half-tone phase shift mask (HTPSM) in which transparent regions are surrounded by opaque rims. We evaluated the imaging performance of contact hole patterns including the MEEF and the depth of focus (DOF). Using this new method, we obtained about 2.0 MEEF and 0.7-micrometers DOF for 180-nm isolated hole, which was much better than that in the conventional mask such as binary mask or HTPSM (the MEEF more than 3). The advantage of our method was that it was possible to attain both the MEEF reduction and the DOF enhancement by the optimization of mask hole size and rim width. Furthermore, we confirmed that this new method was effective not only for improving the exposure dose latitude but also for attenuating side-peak effect.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask Error Enhancement Factor (MEEF) or commonly Mask Error Factor (MEF) has recently become an important metric in determining process requirements in the SIA roadmap. MEF, in general, varies inversely with CD and is often significantly above unity indicating that mask CD errors are in effect magnified during the optical transfer to the wafer. Until recently, the SIA roadmap indicated mask makers needed to allow in the reticle budget for a 1.5X MEF allocation. Discussion at recent industry workshops has indicated that this allocation may be underestimated. We have generated experimental results for vertical, horizontal, dense, and isolated lines as well as contact holes for feature sizes in the range of 150 - 250nm. MEF dependence on the lens, and its variation will be compared across several scanning exposure systems. Role of Numerical Aperture (NA) and role of different illumination settings including conventional, annular, and quadrupole will be measured and compared to the simple theoretical expectations. Finally, MEF will be studied across lens location and correlated to the aberrations. A significant difference in mask error factor between horizontal and vertical lines will also be described in terms of feature size and lens aberrations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Early insertion of ArF nm lithography will occur at the 130 nm node in 2001. Process development for the 100 nm node will also occur this year. Both aggressive gate length reductions and minimum pitch design rules below 250 nm present immediate challenges for the new ArF technology. Gate line widths with approach one half of the wavelength of the exposure system. Contact holes and dark field mask trench structures for 100 nm node will require use of high NA ArF scanners as well as advanced illumination conditions. Development of processes utilizing OPC and PSM as well as advanced illumination conditions are critical to achieving adequate resolution, process latitude, and CD control. With the introduction of very low k1 processes the pattern collapse problem that was anticipated for NGL lithography is upon us today. Another result of sub-wavelength patterning and low contrast images is that the CD variation in the photomask gives rise to a larger than expected printed CD changes: the so-called MEEF (Mask Error Enhancement Factor). The MEEF can be defined as the slope of the litho process linearity curve. This paper will address pattern collapse and CD control issues for bright and dark field images using a full field ArF scanner. We will demonstrate that the resist choice, dark field and bright field printing, feature size and pitch, process conditions, as well as illumination conditions influence the process non- linearity and MEEF. We will compare this with resolution capability and MEEF of modern KrF resist and attempt to quantify the maturity of ArF resist technology. The CD range of 90 - 140 nm is studied using binary masks, as well as alternating and attenuated PSM masks with ArF exposure. We will examine the possibility of optical proximity corrections for dark field structures with low and high MEEF.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effects of mask bias on the Mask Error Enhancement Factor (MEEF) of 180 nm contact holes is studied through lithographic simulation using commercial software and a DUV (248 nm) ESCAP photoresist model. Dense contacts show higher MEEF than isolated or semi-dense contacts. However, dense features exhibit a minimum in MEEF at a single negative mask bias (CD on reticle > 180 nm). Aerial image simulations indicated that low MEEF correlates approximately with high normalized aerial image log-slope (NILS). Hence, factors that affect NILS, such as numerical aperture, partial coherence, and wavelength, also influence MEEF, although without altering the optimum mask bias for minimum dense MEEF. Numerical aperture and wavelength of exposure have the greatest influence on MEEF. For 180 nm contact holes worst case MEEF values below 2 can be achieved by increasing NA to 0.8 at 248 nm or by decreasing (lambda) to 193 nm at 0.6 NA. Resist identity has little influence on the magnitude of MEEF but was the only factor affecting the mask bias setting for minimum dense MEEF.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we will discuss feasibility of KrF and ArF technology to overcome 100 nm node. Simulation and experiment for this study were performed in view of mask error factor. Lithography simulation was done by Hyundai OPC Simulation Tool (HOST) based on diffused aerial image model (DAIM). In the case of k1 factor below 0.33, the photolithography process has no margin because of higher MEF value. Therefore, numerical aperture for KrF and ArF need to have over 0.95 and 0.75 respectively for 100 nm node. Actually, it is impossible to make exposure system with 0.95 NA. The mask error factor gave severe influence on the lithographic performance. To overcome 100 nm node, ArF lithography technology is more appropriate than KrF lithography considering MEF concept.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask Error Enhancement Factor (MEEF) has become one of the critical concerns with the recent technology progress into producing pattern features far smaller than the wavelength of light, as in the case of 0.13 micrometer lithography and below. In this paper, we present MEEF study on different illumination conditions for 0.13 micrometer technology using 248 nm KrF Lithography. Both simulation results using Prolith/2 tool and experimental results using 248 nm DUV scanner, for varying the illumination conditions namely, annular versus conventional with different Numerical Aperture (NA) and Partial Coherent (PC) settings will be discussed. The study shows MEEF varies for different illumination conditions. MEEF was lower with high NA setting as compared to low NA, and annular illumination shows slight improvement of MEEF. In addition, experiments using different types of reticle specifically; Binary mask, 6% half tone Phase Shift Mask (PSM) and 18% High Transmittance Mask (HTM) were also analyzed to characterize its relationship with MEEF.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The impact of reticle imperfections on resist critical dimension (CD) variation has greatly increased as design rules shrink to smaller than exposure wavelength. Resolution enhancement techniques, such as optical proximity correction (OPC) and phase-shift mask (PSM), add a great deal of complexity to the mask manufacturing process. Stringent requirements in wafer processing make reticles a crucial factor in CD control. However, making a perfect reticle is a significant challenge for mask manufacturers. In this paper, the strong correlation between reticle and resist CD variation is reported. Multiple sources of hidden CD errors due to imperfect reticles are discussed. Examples include butting errors, grid snapping, OPC model incompatible among reticles with same design rule but with different mask processes, phase-angle and transmission variations in PSM, undetectable reticle defects from reticle inspection, and small reticle defects that are often classified as 'false' defects. Root causes are analyzed and procedures to minimize hidden CD errors are proposed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In an attempt to develop the dual damascene process in 0.13 micrometer design rule, the trench optics, resist usage, reflectivity control and BARC strategy for 0.18 micrometer S/L on 0.20 micrometer via dual damascene process are discussed. The difficulty of 0.18 micrometer trench process will be concentrated by two reasons: First, the trench optics is totally different from the traditional L/S patterns either observing the pupil plane wave vector or the aerial image versus defocus, it contains the intrinsic limitation to drive and enough process DOF. Secondly, the PR residues remain in via due to the weak light incidence into via as soon as trench exposure. The side issues are the MEEF problem in dark field exposure and lens aberration problem enhanced in the use of PSM or some kinds of special customized illumination filter CIFs. As a result, the negative resist together with NA equals 0.55, (sigma) equals 0.8, annular 1/2 illumination were applied, it reveals that all mentioned issues are properly compromised by this optimized condition. It is also found that the PR window and profile is quite sensitive to substrate acidity and reflectivity. When BARC protecting coating and reflectivity control problems are taken into account simultaneously, the thin conformal BARC and fully filled polymer on dual SiOXNY underlayer are introduced to get a good profile and CD control. Experimental results exhibit the feasibility in manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper demonstrates a method of analysis to determine a minimum achievable overlay design rule, for an existing stepper tool set in a high volume manufacturing fab. Overlay parameters and Critical Dimensions are considered in an overlay budget applying a modified algorithm to the evaluation of reticle errors, tool performance, and design rule analysis. The modified algorithm is used to determine the capability of an installed tool set of high NA steppers to meet the aggressive overlay requirements for advanced BiCMOS device performance. Design rule boundaries and tool set capability are thoroughly investigated. Experimental results from lithographic tests are used in a modified algorithm to determine the capability of running with current design rules or requiring a change for manufacturability. The impact of writing reticles to tighter specifications for both Critical Dimensions and pattern placement are considered. This study provides a method for chip designers and process engineers to determine the lithographic capability of an installed tool set where a part will eventually be fabricated. The results demonstrate that design rules demand optimum tool performance for overlay and CD control as well as provide a tool for the evaluation of continuous improvement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The introduction of resolution enhancement techniques (RETs) and higher numerical aperture (NA) scanners has pushed the capability of 248nm (DUV) processes to below 130nm. This extension of DUV technology has made the decision to insert 193nm difficult. The decision to either extend the current DUV processes or to change to 193nm will depend on several things: mask costs, tool costs, material availability and photoresist performance. The focus of this paper is on photoresist performance. The process latitudes of advanced DUV photoresists are compared to the latest generation of 193nm resists and simulation is used to estimate the performance obtained on the next generation scanners. This paper examines resist process latitude at several feature sizes to determine the strengths and weaknesses of each platform. The feature sizes to be examined include 130nm, 120nm and 110nm with a pitch ratio of 1:1 Lines/Spaces. The resists are processed on the appropriate anti-reflectant and a resist film thickness of approximately 3,000 angstrom is used. The measured lithographic response will be the individual process windows. The examination of each platform's performance will clarify the differences between the two resists on current scanners and estimate the differences on the next generation scanners. The results show experimentally that the current 193nm resist has slightly better process latitudes than the DUV resist for 1:1 Line/Spaces below 130nm. The estimation of performance on the next generation higher NA scanners indicate that the DUV resist will have better performance than the current 193nm resist. However, when the 193nm resists reach the same maturity as the DUV resist and respond to the aerial images accordingly, the 193nm resist will have better process latitude. While few resolution enhancement techniques are used in the experiments, the results do provide a piece of information required to decide between DUV and 193nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The advent of 300 mm wafer processing for semiconductor manufacturing has had a great impact on the development of photolithographic materials, equipment and associated processes. At the same time advanced packaging techniques for these semiconductor devices are making strides for smaller, faster and lower cost parts with improved reliability. Photosensitive polyimides are used for passivation stress buffer relief and soft error protection on almost all memory devices such as DRAM as well as final passivation layers for subsequent interconnect bumping operations on most of today's advanced microprocessors. For processing simplicity and total cost of ownership, it is desirable to use an aqueous developable polyimide to maintain compatibility with standard photoresist processes. This study will investigate the feasibility of processing photosensitive polyimides on 300 mm wafers. The performance of a commercially available, positive acting, aqueous developable polyimide is examined at a thickness appropriate for logic devices. A broadband stepper is utilized since polyimides are highly aromatic polymers that strongly absorb UV light below 350 nm. This stepper exposes photosensitive films using mercury vapor spectrum output from 390 nm to 450 nm (g and h-line) and allows rapid exposure of both broadband as well as narrow spectral sensitive films. The system has been optimized for thick photoresists and polyimides and uses a combination of low numerical aperture with maximum wafer level intensity to achieve well formed images in thick films. Process capability for 300 mm wafers is determined by analyzing polyimide film thickness uniformity and critical dimension (CD) control across the wafer. Basic photoresist characterization techniques such as cross sectional SEM analysis, process linearity and process windows are also used to establish lithographic capabilities. The trade-offs for various process capability windows are reviewed to determine the optimum process conditions for different polyimide applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There are a number of new lithographic applications that require the use of ultra-thick photoresists. Extremely large structure heights and high aspect ratios are often necessary for electroplating processes. In this situation it is important for the height of the patterned photoresist to exceed the plating height. Two of the main applications for thick photoresist are micromachining and advanced packaging. Ultra-thick photoresists are used in packaging to define the size and location of the bonds for bump bonding, while in micromachining the photoresist is used to define fluidic chambers and electroforming molds. At photoresist thickness greater than 15 microns, standard lithographic techniques become difficult in terms of performance and productivity. The bake, exposure and develop times increase dramatically as the photoresist thickness climbs. The estimated total process time for a 15 micron photoresist is approximately three times greater than that of a 1 micron photoresist. For thick films the develop time on the wafer track becomes the throughput limiter for the entire lithography cell. Therefore, reducing develop time for thick photoresist processes is critical to enhancing the lithography cell cost of ownership. In this paper we will focus on the developer chemistry and process to improve both performance and productivity for a 15 micron thick photoresist. We evaluate process changes in both normality and surfactant level of the developer. Cross sectional analysis, contrast curves, process linearity and process windows are used to establish the lithographic capabilities. It is clear that a developer and process for a thin photoresist is not necessarily optimum for a thick photoresist process. The implementation of an ultra-thick photoresist becomes more feasible in a manufacturing environment after optimizing developer chemistry and process conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Silicon-containing bilayer thin-film imaging resists versus single layer resists for a variety of different mask types, from both a focus-expose window, etch selectivity, and process integration perspective are examined. Comparable lithographic performance is found for 248 nm single layer and bilayer resists for several mask levels including: a 135 nm dense contact/deep trench mask level, a 150 and 125 nm equal line space mask printed over trench topography, and dual damascene mask levels with both vias and line levels. The bilayer scheme is shown to significantly relax the dielectric to resist etch selectivity constraint for the case of a dense contact or trench hardmask level, where high aspect ratio dielectric features are required. Only a bilayer resist scheme in combination with a transfer etch process enables the line/space pattern transfer from the imaging layer to the bottom of a trench with a combined aspect ratio > 10. When the single layer resist depth of focus window is limited by both the topography and variations in the underlying dielectric stack thickness, as is the case for the dual damascene via and line levels, bilayer resist is shown to be a practical alternative.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The control of photoresist thickness and uniformity is becoming more crucial factor as the wafer size increases and the minimum feature size decreases since the variation of resist thickness could affect the critical dimension variation. In general, spin coating technique is used to coat photoresist on a wafer. To obtain the wet resist thickness profile around a topographical feature, the analytical solution derived from mass continuity and lubrication approximation was used. Under the same spin coating condition, the formations of distributed photoresist were different among the shape and size of topology. The final dried resist thickness profile was obtained by applying the resist thickness reduction due to evaporation during soft bake. The photoresist thickness and distribution on an isolated topology were compared with those of a periodic topology. In case of periodic topology, the photoresist thickness and distribution are dependent on topology density. The resultant thickness variations were applied to our simulation tool to determine the line width variations around the topological feature. We found that the difference in resist thickness due to topography could induce a severe line width variation. Mask bias or other correctional method is necessary to get the desired line width for the whole area around the topology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In device integration smaller than 0.18 micrometer design rule, application of the ARC (antireflective coating) technology is unavoidable and SiON ARC and organic ARC are well-known materials up to now. In this paper, as an alternative way, new carbon ARC (CARC) material with the properties of easy stripping and good step coverage is presented. The details of its characteristics related to photo, etch and cleaning process and electrical properties after application to the real devices is investigated. The feasibility of the new CARC for both KrF and ArF lithography was confirmed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper investigates the design of targets for in-line lithography process control. The need for wafer-level understanding and control of defocus has driven the development of several of methods for detecting focus shifts. The methods are typically based on measurements of line-end shortening and use optical methods. This work starts a dual-tone pair of arrays, one built from resist lines and the other from resist troughs. These process control targets area also known as schnitzls. The influence of the shape of the individual lines, the line pitch and separation of arrays are investigated using both simulations and wafer resist CDSEM measurements. A theoretical model was applied to all data to enable objective comparison of different designs. A guide to dose and defocus target design for process window monitoring is provided as part of the summary.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Leading chip makers are now trying to develop 130 nm technology node recently, using 0.70NA KrF lithography, whose k1 factor is 0.37. It is, however, accepted that it is a real challenge to apply low k1 process under 0.40 to mass- production. So, it is desirable to produce with higher k1 factor using such as 0.80NA KrF or 0.75NA ArF lithography. But, these advanced tools being not available yet, some chip makers who wish to produce 130 nm technology node device earlier have to choose low k1 process with 0.70NA KrF lithography. In mass-production, throughput and production yield are the most significant parameters that can define productivity and both parameters should be considered carefully when determining the size of a field. It is possible to organize several chips in a large field for better throughput, however it can cause degradation of CD uniformity, which can result in production yield drop, especially in low k1 process whose process window is not wide enough. On the contrary, using a small field may contribute to higher production yield, but at the expense of throughput. In this study, a model procedure to determine optimum field size by simulating the relative product yield and throughput is introduced for 130 nm technology node mass-production with low k1 process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The issues surrounding the sensitivity of chemically amplified DUV photoresists to molecular bases such as ammonia, NMP, TMA and related compounds, have been the sources of intensive study and numerous publications. The challenges of DUV lithography tested both the photoresist suppliers' abilities to improve resistance to chemical degradation and the equipment suppliers' abilities to control molecular bases in the wafer processing environment. The efforts of photoresist suppliers have resulted in the latest generation of resists, some of which are reported to be less sensitive to molecular base exposure. Concurrently, powerful chemical filters have been developed to be able to maintain process equipment enclosures below concentrations of one part per billion (volume) through a wide range of ambient challenge conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents data obtained in developing a process using 193 nm lithography and the RELACS contact hole shrink technique. For the line/space levels, process windows showing resist performance using chrome on glass masks are presented. Data showing feature size linearity and the requirements for optical proximity correction (OPC) are presented. Some of the OPC trends observed are discussed and compared to results obtained using 248 nm lithography. Image shortening data also compares the results obtained in 193 and 248 lithography. Etch results for the new 193 resists are given and show the etch resistance of this relatively new class of photoresist materials. For contact hole and via levels, results using 193 lithography and COG masks show the importance of the mask error enhancement factor (MEEF), print bias and resolution. Due to the relative immaturity and performance of contact hole resists for 193 lithography, Clariant's RELACS process was investigated with 248 nm resists. In this process contact holes are printed larger than required and then reduced to the desired size by a chemical shrink process. Results obtained with 248 lithography using state of the art resists and phase shift masks are discussed. It was found that 140 nm contact holes with at least 0.5 micrometer depth of focus could be obtained. Cross sections and process windows are shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We demonstrate a new bottom antireflective coating (BARC) layer for ArF lithography. The antireflective layers are composed of a low dielectric constant FLARE 2.0 film and its etching hard mask layer, such as oxide or nitride. By adding an optimized thin oxide or nitride layer, the reflectance of less than 1% at resist/silicon substrate interface can be achieved. The swing effect in the resist is also shown significantly reduced. It also has a great potential to be used as BARC layer on other highly reflectance substrate such as copper, aluminum, tungsten, titanium nitride, and tantalum nitride, which are commonly used in metal interconnect. Since it is easy to reduce reflectance by adding a FLARE film and its etching hard mask layer without adding an extra BARC layer. It is convenient to use this structure for patterning low dielectric materials in ArF lithography. Suitable etching characteristics and thermal stability of FLARE 2.0 based BARC layers are also shown in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
F2 lasers are the light source of choice for microlithographic tools enabling structures below the 70 nm technology node. Accurate measurements of the spectrum of F2 lasers are therefore very important. We have succeeded in measuring the spectrum of an ultra line narrowed F2 laser using a VUV grating spectrometer calibrated with a 153 nm coherent light source (153CLS). As a first step in the development of a 157 nm coherent light source (157CLS), the less complex 153CLS has been built. Using resonant two-photon processes and four-wave mixing in Xe, this method provided a tunable laser system with high conversion efficiency and a very narrow linewidth, which can be approximated by a (delta) function. The 153CLS included a pulsed, single-mode tunable Ti:sapphire laser (768.0 nm), a third harmonic generation unit (256.0 nm) and an Xe gas cell. The 153CLS had a linewidth of 0.007pm (FWHM) and a power of 0.05mW at 1000 Hz. The VUV grating spectrometer and a Michelson interferometer for F2 lasers have also been developed. The instrument function of the spectrometer has been measured with the 153CLS. Experimental and theoretical instrument functions were in good agreement (FWHM: 0.30pm). The instrument function at 157 nm was therefore estimated to have the theoretical FWHM of 0.31 pm. The spectral linewidth of the line-selected F2 laser has been measured under various laser conditions with the spectrometer as well as with the interferometer. Results show good agreement between both measurements. The spectrum of the ultra line narrowed F2 laser was measured with the VUV grating spectrometer calibrated using the 153CLS. The laser's FWHM of the deconvolved spectrum was 0.29pm. The deconvolved spectral purity containing 95% of the total laser energy is less than 0.84pm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An ultra narrow line width of the F2 laser, narrower than 0.2pm, is required for a CaF2 only refractive optics exposure system. Also, a low peak laser power is needed for the extension of the optics lifetime. These ultra narrow line width and low peak power are achievable by long pulse duration. We, Association of Super-Advanced Electronics Technologies (ASET), are developing an ultra line narrowed F2 laser below 0.2pm, with 5mJ high output energy, by adopting a 2-stage F2 laser system, which consists of an oscillator and an amplifier. The oscillator for this 2-stage system is required to have an ultra narrow line width of below 0.2pm. We have developed F2 laser with very long laser pulse duration of over 65ns (Tis: the integral squire pulse width), in a free running operation. And, by installing a line-narrowing module (LNM) in this F2 laser, an ultra narrow line width of below 0.2 pm (FWHM, deconvolved) has been realized. This F2 laser was successfully used for the oscillator of 2-stage system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Incorporation of fluorine into dry silica is known to extend the transmittance of the glass to shorter wavelengths relative to fluorine-free silica. Fluorine-doped silica has been shown to have greater than 80%/cm internal transmittance at 157-nm. Its high transmittance, low thermal expansion, ease of polishing and relative availability make it a perfect candidate for use at 157-nm for photomasks and potentially for other short wavelength optical elements. In the course of investigating composition-property relationships of F-doped, dry silica glasses, the effect of fluorine concentration on color center formation as a function of excimer laser exposure was considered. A series of glasses with fluorine concentrations from 0 to 1.8 wt% was studied. Using the 157-nm exposure wavelength, all glasses developed the silicon E' center, characterized by its absorption at 215-nm. Also noted was the non-bridging oxygen hole center (NBOHC) which appears in the UV at 260-nm. A band at 165-nm, identified as the oxygen deficient center (ODC) was also observed. This band was most pronounced in higher F concentration glasses, with the lowest F and non-F containing glasses showing no 165-nm band evolved. The assignment of the ODC is based on the fluorescence of the center and its reaction with oxygen, which causes diminishment of the band. The evolution of the color center formation (absorption) can limit the lifetime of optics by driving down transmission with use. For the 157-nm wavelength the absorption of importance is the one that appears at the 165-nm, the ODC. The exact atomic structure of the ODC is not important for this discussion; in fact, the defect is described by two different structures, the metal- metal bond and the divalent silicon: (O)3Si-Si(O)3 and O-Si-O(2+) The ODC has significant absorption at 157- nm and so minimizing its formation during exposure would be advantageous for optics life.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 157 nm molecular fluorine laser is regarded as the next generation light source for semiconductor exposure technology in the vacuum ultraviolet (VUV) region. Research for high performance F2 laser optical materials is therefore indispensable. In this paper, we describe methods and results of evaluating optical materials used in the 157 nm region. We have developed an in-situ VUV evaluation system, which can measure the transmittance in the deep ultraviolet (DUV) and the VUV region directly after laser irradiation and the temporal transmittance during 157 nm-laser irradiation without airborne contamination. The system consists of a 2 kHz F2 laser, an in-situ VUV irradiation system and a specialized VUV spectrophotometer. Laser irradiation and measurements were carried out under high purity nitrogen gas. During the first phase of F2 laser irradiation (0 approximately 0.7 million pulses), a rapid transmittance increase (87% yields 89%) of calcium fluoride (CaF2) substrates was observed and this change took almost place within one minute after starting the irradiation. It is assumed that this effect is due to surface cleaning by the F2 laser beam. Surface polishing has been excluded because the sample surface roughness measured with an atomic force microscope (AFM) showed no difference before and after irradiation. After an irradiation of 0.1 million pulses, the fast initial increase of the transmittance slowed down and finally reached about 89%. The slower increase might be correlated with a reduced chemical bonding of hydroxyl groups on the surface, because the transmittance change at 157 nm was in good agreement with the measured VUV transmittance below 170 nm. This is corresponds with the hydroxyl absorption band below 170 nm. The transmittance and reflectance of high reflection coated substrates were examined as well. Obvious damage and a huge reflectivity loss (82.4% yields 47.4%) were observed after 1.5 billion irradiation pulses. The information obtained during this work is very useful in devising optical F2 laser components.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This work was done to identify viable materials for attenuated phase-shift masks (Att-PSMs) for use with 157-nm lithography. Earlier studies proposed Si-based and Zr-based materials as potential contenders for use as Att-PSMs for 157-nm lithography. This report proposes new Ta-based materials for Att-PSMs, and evaluates the irradiation durability of Ta-group bilayer films (Ta and TaSiO) and Si film to F2 laser light. The Ta-based mask is a bilayer structure consisting of an absorption film (AF) layer and a transparent film (TF) layer. Ta is used for the AF, while TaSiO is used for the TF. The Cr of the Si-based mask is used for the AF layer. The TF layer is etched substrate. The transmittance of the Cr-based mask is readily controlled by the thickness of the Cr layer. The phase angle is controlled by the depth of etching substrate. It was found that the Ta- based bilayer films, and the Cr-based films exhibit good irradiation durability for irradiation to 157-nm light. We demonstrated that the Ta-based and Cr-based Att-PSMs are practical for use in 157-nm lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Progress along the path towards smaller semiconductor feature sizes continually presents new challenges. 157nm technology is a promising new step along this path. The major challenges encountered to date include environmental purging for high transmission and beam alignment in a purged environment at this short wavelength. We present a simple shearing interferometer consisting of two Ronchi phase gratings in series, used on axis. The common path set-up and zero optical path difference between the interfering diffraction orders makes this device both robust and easy to align. Ease of alignment is an added benefit when working remotely in a purged environment with low light levels. If one grating is shifted relative to the other, a phase shift is introduced and phase measurement techniques can be employed for high accuracy characterization of the incident wavefront. Set-ups, measurements and characterization of wavefronts and spatial-coherence at 157nm made with this device are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Full acceptance of 157nm technology for next generation lithography requires that critical optical components and systems be characterized at this wavelength. Some of the challenges inherent in the 157nm test regime include purged beam paths, a partially coherent and astigmatic light source, limitations in reflective and transmissive optical components, and immature CCD detector technology. A Twyman-Green interferometer specially devised for testing lithographic objective lenses and systems at 157nm that addresses these challenges is presented. A description of the design and components used is provided along with test results obtained with the interferometer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The advent of 157 nm F2 lasers in lithographic application implied new challenges in spectral metrology. The approaches for the lithographic imaging system, that have been suggested so far, differ in the requirements of the spectral bandwidth of the laser. However, even designs with less stringent demands will require high-resolution spectral metrology in order to enable comprehensible spectral bandwidth and purity measurements or specifications. Ideally, narrowband calibration sources in the VUV range should be used to precisely determine the instrument function of the spectrometer, enabling correct spectral de-convolution. However, most schemes for generation of appropriate light sources are rather complex and thus expensive. Stability and lifetime of solid state sources, i.e. nonlinear optical devices, are expected to be not satisfying too. The principal approach for a spectrometer design should be to increase the inherent spectral resolution of the instrument above the required specification limits of the laser systems under investigation, avoiding or at least significantly reducing the necessity of spectral de-convolution. Following this path, the optical layout of an existing Echelle grating based spectrometer has been investigated and re-designed. Collimation and imaging quality of the spectrometer could be considerably improved with the implementation of an aspheric focusing mirror.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we will examine the effect of obscuration upon the various features we desired to image with a 157 nm microstepper utilizing a catadioptric lens. We will show the effect the obscuration has upon imaging when using not only conventional illumination and binary masks, but also when using a range of enhancement techniques such as off-axis illumination and phase-shifting masks. We will show how use of a large obscuration, while enhancing the signals for the densest features, actually degrades the signal for more isolated features. The level of obscuration must also take into account cross duty-ratio effects, i.e. the distribution of diffraction energy, for phase shifted features of various sizes. In this situation where a small sigma would be used a large level of obscuration can significantly increase biases. The choice of obscuration can have a major effect upon the imaging capabilities of a tool. In future, when the use of catadioptric lenses may be more widespread (for example this may happen at 157 nm) it may be desirable to have the option to vary this obscuration dependant upon the pattern being imaged.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Driving optical lithography below the 100nm node is very demanding for optical materials due to the deep ultra-violet radiation to be used. Light sources enabling detailed material studies in the wavelength range of interest are therefore indispensable. For this purpose Gigaphoton Inc. developed a line-selected F2 laser operating at 2kHz which is based on the well proven technology of its KrF and ArF lasers. The F2 laser uses a line-selecting module in order to oscillate at the stronger (157.63nm) of the two F2 transition lines emitted under free running conditions (157.52nm and 157.63nm). The laser transition is not line-narrowed resulting in a deconvoluted bandwidth of 0.92pm (FWHM) with a 95% integrated energy width of 2.95pm. The F2 laser delivers 7.5mJ pulses at 2000Hz with a fluctuation sigma of 3% (50 pulse window). Laser characteristics important for industrial applications and results of durability tests (> 2.5Bpls) will be presented. Discussed laser performance data include laser beam characteristics, especially beam profile and divergence, wavelength and pulse energy stability during gas lifetime cycles as well as the overall laser performance and reliability during a laser-chamber lifetime cycle.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As photolithographic processes utilize ever shorter wavelengths to produce more densely packed circuitry on silicon chips, the choice of materials suitable for use in the DUV spectral region becomes severely limited. We report here on preliminary life test results for calcium fluoride irradiated at 157 nm by F2 laser beams. The sample housing and beam delivery tubes were purged continuously with high purity nitrogen to keep the background oxygen level as low as possible and to sweep away any potential organic gases liberated from the sample mounting hardware and overall experimental apparatus. Data were collected to evaluate induced changes in transmission, wavefront distortion and birefringence over the course of billions of shots at a nominal fluence of 0.1 mJ/cm2.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is predicted that the semiconductor market will demand 70 nm devices from 2004 or 2005. Hence, F2 laser microlithography systems have to be developed according to this time frame. At ASET, 'The F2 Laser Lithography Development Project' started in March 2000, as a 2-year project to fulfill this market requirement. The final target of this project is to achieve a F2 laser spectral bandwidth of 0.2 pm (FWHM) at a repetition rate of 5000 Hz and an average power of 25 W. These specifications meet the demand of dioptric projection system. We have done a feasibility study for a high efficiency line narrowing design to achieve the ultra narrow spectral bandwidth and the high output power. In addition, we have developed an intermediate engineering laser system consisting of an oscillator laser and an amplifier. With this laser system we have performed the line-narrowed operation using two arrangements: Master Oscillator Power Amplifier (MOPA) and Injection Locking. With this Oscillator-Amplifier system and have achieved a spectral bandwidth (convoluted) of FWHM <0.2 pm with both systems: MOPA and Injection Locking. The maximum output energy was >20 mJ for MOPA and >15 mJ for Injection Locked operation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Potential transmission problems for polymeric pellicle membranes at 157 nm have led to alternative designs incorporating ultra-thin modified fused silica, i.e., so-called 'hard pellicles.' The mechanical characteristics of hard pellicles are unique. Forces can be generated between the pellicle frame and the patterned reticle during bonding because of misalignment and warpage. These forces create out-of-plane distortions of the reticle, which can subsequently induce in-plane distortions. Also, since the hard pellicle is an optical element, its deflection can be a source of error. In addition, because the reticle is rapidly repositioned during exposure, vibration of the pellicle could be excited by stage motion. It is important, therefore to understand the structural and modal response of the composite pellicle / reticle system. Experimental analyses were conducted to determine changes in the reticle and hard pellicle profiles (out-of-plane) due to bonding. Finite element modeling was used to support the experimental study, as well as identify the gravitational distortions of the pellicle. A modal analysis was also performed on the hard pellicle after bonding. The experimental measurements and finite element results were in excellent agreement, both for mode shapes and vibration frequencies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
According to the SIA-Roadmap, the 157 nm wavelength of the F2 laser is the most likely solution to extend the optical lithography for chip production from the critical dimensions of 100 nm down to the 50 nm node. The introduction of the 157 nm lithography for high volume mass production requires high power, high repetition rate F2 lasers operating in the power range of more than 40 W or at repetition rates of more than 4 kHz. These leading specifications are combined with other challenging laser specifications on dose stability and bandwidth which must be realized within a very aggressive time line for the introduction of the full-field scanner systems in the year 2003. According to this roadmap of the tool suppliers Lambda Physik has now introduced a 2 kHz lithography-grade F2 laser F2020 for further pilot scanner systems. In this report we present basic performance data of this single line 2 kHz F2 laser and some typical results on key laser parameters which had been measured with new and improved metrology equipment. We demonstrate for the first time precise measurements on the correlation of the natural bandwidth versus pressure which had been performed with an ultrahigh resolution VUV spectrometer. In addition a new compact and transportable high resolution VUV spectrometer was used for analyses of spectral purity and line suppression ratio of the laser emission. The experimental setup and result of an absolute calibration of a power meter, for the first time directly performed at the true 157 nm wavelength, are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Excimer laser light sources for photolithography are subject to a cycle of ever-tightening precision requirements, dictated by the design-rule shrinks planned into the industry roadmap. But pulse-to-pulse stability of the center wavelength of the emitted light is limited by the presence of vibration in key components and structures. This paper covers the application of Active Vibration Control (AVC) technology to an excimer laser to mitigate the effects unwanted vibration, and enable compliance with anticipated future stability specifications. The laser system is described, from a structural-dynamics point of view. A systematic approach to vibration diagnostics is presented, with experimental results to support key conclusions regarding the types and sources of vibrations. Next, analytical assessment of active control performance is discussed, followed by breadboard-type implementation results showing reductions of > 30% in a key stability performance metric.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The next generation 193 nm (ArF) laser has been designed and developed for high-volume production lithography. The NanoLithTM 7000, offering 20 Watts average output power at 4 kHz repetition rates is designed to support the highest exposure tool scan speeds for maximum productivity and wafer throughput. Fundamental design changes made to the laser core technologies are described. These advancements in core technology support the delivery of highly line-narrowed light with <EQ 0.35 pm FWHM and <EQ 0.95 pm at 95% included energy integral, enabling high contrast imaging from exposure tools with lens NA exceeding 0.75. The system has been designed to support production lithography, meeting specifications for bandwidth, dose stability (+/- 0.3% in 20 ms window) and wavelength stability (+/- 0.05 pm average line center error in 20 ms window) across 2 - 4 kHz repetition rates. Improvements in optical materials and coatings have led to increased lifetime of optics modules. Optimization of the discharge electrode design has increased chamber lifetime. Early life-testing indicates that the NanoLithTM core technologies have the potential for 400% reduction of cost of consumables as compared to its predecessor, the ELX-5000A and has been discussed elsewhere.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Quantification of projection lens aberrations in lithographic exposure systems has gained significant importance due to more stringent critical dimension control and image fidelity requirements. As linewidths shrink, the impacts of wavefront aberrations on imaging become more pronounced. Therefore, minimization of the wavefront aberrations across the image field is desired and has led to the development of a number of measurement approaches. The proposed techniques have been evaluated extensively for characterization and specification of lens systems, adjustments, matching, and periodic control and monitoring of lithography systems for volume production. In this study, we discuss the contribution of excimer laser bandwidth towards lens aberrations. We carry out simulations of the effects of image contrast on conventional projection patterning, to evaluate the degree of aberation-induced linewidth changes depending on image contrast level. Also, experiments have been conducted to measure the response of wavefront error as a function of spectral bandwidth for a 0.6NA stepper and scanner. Depending on the field location, a positive relationship is observed between the measured aberration level and bandwidth. We propose a formalism to correlate the aberration measurement with aberration response to wavelength offset, presented elsewhere.[2] The wavefront error, in this work, is measured using a commercially available in-situ interferometric technique, whose response is largely insensitive to focal plane changes and partial coherence.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High-repetition-rate ArF excimer lasers are needed to enable high throughput and energy-dose stability in 193-nm scanner systems. Last year we described a 4-kHz ArF excimer laser with long pulse duration, which can narrow the spectral bandwidth by increasing the number of round trips and reduce optical damage from low-peak power. The design of the 4-kHz ArF excimer laser has been improved for mass production. Operating rates exceeding 4 kHz are needed to optimize lasers for next-generation technologies that can enable high NA and the development of high-throughput scanners. We have analyzed the possibilities of achieving repetition rates higher than 4 kHz. The discharge width was reduced by about 25 % with a variation of the electric field at the discharge section, and the gas flow and gas-mixture conditions were improved. As a result, we obtained the following performance characteristics: 42-W average power, 3.5 % pulse-to-pulse energy stability of sigma, and a 44-ns integral-square pulse width at 6 kHz with a bandwidth of below 0.45 pm in FWHM. We concluded that developing 6-kHz ArF excimer lasers for next-generation 193-nm lithography is feasible.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several approaches for high-resolution laser metrology have been discussed. One approach is to use a multiple-etalon spectrometer, which has two or more etalons with different FSRs. This approache can increase both the resolution at FWHM and the tails, as well as increase the spectrum range of the instrument. With the proper alignment, this multiple etalon configuration can produce an instrument whose resolution is equal to or better than the highest resolution etalon while still maintaining the FSR of the lower resolution etalon. In the configuration tested, a spectrometer designed for 248nm was constructed with a 2pm etalon and a 20pm etalon. The resolution of this multi-pass, multi-etalon (MPME) spectrometer produced an instrument function of 0.086pm FWHM and 0.339pm for the integrated 95% level over an integration range of 20pm. Another approach is to use a combination of diffraction grating and etalon - based spectrometers. In this approach, the etalon provides high resolution for FWHM measurements, while diffraction grating provides accurate measurement of the spectrum tails over the wide scanning range. This idea has been tested with a 193 nm instrument.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a 4-kHz ArF excimer laser with ultra-narrow bandwidth, which is applicable to high-NA scanners for sub-0.13-micrometers microlithography. In this paper, we describe a 4-kHz ArF excimer laser for mass production: the model G40A, which has an output power of 20 W and energy dose stability of less than +/- 0.3% (20-ms window) at 4 kHz. This dose stability is comparable to the performance of an existing 2-kHz ArF excimer laser, the model G20A. The new laser also has the following specifications: a long pulse duration of over 40 ns, spectral bandwidth of less than 0.35 pm (FWHM), and spectral purity of less than 1.0 pm (95%). These characteristics are better than those of the G20A. A lifetime test of over 7 billion pulses has been conducted at 4-kHz operation. The new laser has maintained an energy dose stability of less than +/- 0.3% (20-ms windows) and demonstrated performance suitable for mass production even after over 7 billion pulses.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, we have developed a novel Br lamp for the F2 laser wavelength calibration. In order to examine the validity of this lamp, we measured emission lines of this lamp in 157 nm region and analyzed them. From our result, it has been confirmed that the spectral profile of the 157.6387 nm (2P3/2 - 4P5/2) emission line of Br atom is unchangeable to the parameters of lamp designs and lamp operating conditions. One of the reasons will be shown here. Hence our novel Br lamp is to be the promising candidate for the 157 nm F2 laser wavelength calibration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Bandwidth of a laser spectrum is generally specified in terms of the full-width-at-half-maximum (FWHM) metric. Another bandwidth specification is based on the 95% integral energy (E95%) of the spectrum. While providing a more complete information about the spectral shape, E95% bandwidth is very sensitive to small changes in spectral background intensity. In this work, both bandwidth specifications and their effects on aerial image properties are evaluated using computer simulations. Also, in order to obtain a more comprehensive understanding of illumination spectrum effects on lithographic imaging, aerial image sensitivity to the shift of central wavelength and to the change of spectral background intensity is investigated. Results show that the overall shape of the laser spectrum is critically important, and that the E95% metric is more suitable for bandwidth specification.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep UV lithography using ArF excimer laser requires very narrower spectral properties. However, spectrometers that have sufficient resolution to evaluate the ArF excimer laser are commercially not available. High-resolution multi-grating spectrometers for measuring spectral bandwidth at full width at half maximum (FWHM) and spectral purity of ArF excimer lasers are introduced. To achieve high resolution, a special grating arrangement called HEXA (Holographic and Echelle Gratings Expander Arrangement) is designed. A holographic grating and an echelle grating are used so that the input light is expanded and diffracted several times. The resolution of the HEXA spectrometer is more than two million. To evaluate the resolution and the stability of the spectrometer, we measured the instrument function by a coherent light source whose wavelength is same as ArF excimer laser. The experimentally obtained resolution of the spectrometer is 0.09pm or 0.05pm that is selectable. The measured dispersion has a good agreement with the theoretical value. To evaluate the spectral properties of excimer lasers, the instrument function must be very stable. This high-resolution spectrometer enables high quality control of line-narrowed ArF excimer laser mass production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Excimer laser radiation changes the physical and optical properties of fused silica. These changes include laser induced absorption and density changes in the glass. Such effects may have an impact on the length of time for which optical elements made of fused silica can be used in DUV lithography systems. Corning Incorporated has recently developed and built a system for marathon testing of fused silica. The system consists of a 2000 Hz ArF laser and a specialized automated test bench. It allows the simultaneous testing of up to 10 samples under exposure conditions similar to the conditions expected in ArF lithographic exposure tools. First results of laser induced damage in samples exposed in this new system are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In low-k1 optical lithography, aberration effects are more significant than ever before. Typically, aberrations are measured by phase measuring interferometry (PMI) when the lenses are fabricated, but this technique is not accessible for lithographers, nor does it include resist effects. Recent progress in resist-based evaluation techniques makes reliable Zernike coefficients available in many cases, but these approaches are still far away from practical application for lithographers, because it remains necessary to know which Zernike coefficients are important for controlling CD variations in each application. It is well known that coma aberration causes local CD variation, which is critical for device performance. In this study, we investigated in detail, characteristics of coma-induced linewidth asymmetry. From a practical point of view, the characterization methods proposed here could be used either for classification of lenses or to specify IC design rules.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lens aberration of the exposure tool causes pattern deformation and position shift. As design rule shrinks, the ratio of printed feature size to applied wavelength for optical lithography is driven inexorably toward resolution limit. In this study, we devised an efficient method to evaluate lens aberration using multi-ring pattern on an attenuated phase-shift mask. Adoption of multi-ring pattern can cut down measurement time and improve measurement repeatability. These patterns are uniformly distributed through entire field in 7 by 7 manner. Lens aberration was evaluated by multi-ring pattern array under conventional or off-axis illumination with KrF stepper of NA 0.65. Multi-ring critical dimension (CD) data was discussed together with the issue of lens aberration such as coma, astigmatism, field curvature, etc. We can apply this new measurement technique to select better lens system efficiently. multi-ring, field size, pattern deformation
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A shift to shorter wavelength radiation sources along with improvements in the quality of lithography grade optical materials has driven the production of integrated circuits with smaller feature sizes. Optical characterization of these materials, in some cases, is performed at visible wavelengths due to the complexity level associated with measuring in the DUV. Birefringence measurements of stepper lens blanks, for example, are typically measured at 633nm using a Helium Neon laser source. However, knowledge of the correlating DUV birefringence values is needed for determining the acceptable magnitude of birefringence in the material and for predicting the magnitude of loss in CD contrast. In this paper we report results on how the birefringence in Corning's HPFSR synthetic silica glass changes at the DUV wavelengths used in lithography systems. An examination of the wavelength dependent stress-optic response that produces birefringence was performed and found to increase from 633nm to 193nm. Birefringence in lithography stepper lens elements degrades imaging performance so an understanding of its dispersion is important for system designs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Influences of birefringence residual in lens materials of projection optics used for microlithography are investigated based on partially coherent imaging formula that has been modified to incorporate the change of polarization states in lens elements. Birefringent properties are represented by two- dimensional functions with respect to magnitude and fast-axis direction, and they are determined using random numbers to reproduce actual distributions in such materials as calcium fluoride. By repeating calculations using lens data created with different sets of random numbers, the degree of imaging performance degradation is analyzed statistically in terms of the magnitude of birefringence in each lens element, the number of lens element composing a projection lens, and the randomness of fast-axis distributions. It is found that the image contrast for a five-bar line/space pattern decreases squarely proportional to the magnitude, whereas the value decreases linearly proportional to the element number. The influence of randomness is understood in relation to image formations through random phase media.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several super resolution techniques, such as phase-shifting mask (PSM) and off-axis illumination (OAI), have been reported to extend the resolution limit and increase the depth-of-focus (DOF) of optical lithography. However, these techniques provide less immunity to spherical aberration than the conventional approaches like chrome binary mask and low coherent illumination. Best focus position shift is the most well known anomalous phenomenon resulted from spherical aberration. In this paper, the origin of best focus shift is explained in pictorial and analytical forms. The phenomenon is evaluated by observing the exposure-defocus windows of sub-0.2micrometers hole patterns from an 18% transmission rim-type attenuated PSM combined with several types of illumination. Under high coherent illumination, severe focus shift was observed in sparse patterns as strong phase-shifting effect is applied. For dense hole patterns, OAI results in abrupt focus position variation at specific pattern pitch. The experimental results show that spherical aberration would induce best focus shift, distortion of process windows, loss of DOF, and shrinkage of iso/dense process window overlap. Two approaches were proposed to suppress the impact of spherical aberration. One is introducing proper amount of phase bias in attenuated PSM to adjust the wave aberration in the lens. The other more feasible method is using a customized illumination. A synthesized illumination aperture was proposed to compensate the focus shift. Excellent lithographic performance was obtained in the experiment from this method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithographic lens systems are continually being designed to work at shorter wavelengths and higher numerical apertures. The prospect of 157 nm F2 excimer-based lithography presents many demanding new challenges to lithographic lens manufacturers. Lens fabricators must re-orient themselves to handling and finishing more delicate optical materials such as calcium fluoride to unprecedented surface requirements. Thin film engineers are pressed to deliver a multitude of new optical coatings, but with a dramatically limited selection of raw materials. And optical test engineers are presented with new testing challenges: among them is at-wavelength interferometric testing of lithographic objectives using an F2 excimer laser source. Requirements for constructing such an interferometer dictate a design containing several nitrogen-purged beam paths and a camera capable of detecting 157 nm radiation. These contribute to an interferometer that is cumbersome and expensive when applied to production testing of lithographic lens assemblies. In addition, complications emerge in the interferometer design due to the relatively poor coherence in the 157 nm F2 excimer source. Fortunately, off-wavelength sources (usually at a 'user-friendly' longer wavelength) can be applied to transmitted wavefront testing of lithographic objectives designed for shorter wavelengths, while still providing nearly perfect and predictable at-wavelength imagery. This testing approach requires additional null optics to correct for off-wavelength spherochromatism effects. We have successfully used off-wavelength 248 nm interferometer testing to characterize 193 nm ArF lens systems, and this approach has been extended to the 157 nm regime by incorporating a well-characterized null corrector. We explain methods to perform null corrector characterization: We describe a technique to separate the non-rotationally symmetric errors introduced by a multi-element null corrector from the errors in the lithographic lens under test. We also discuss methods to characterize the rotationally symmetric errors introduced by this null corrector. In addition, we describe a method to cascade the error separation algorithm such that additional non-rotationally symmetric errors are also isolated. Test results are included and discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Absorption loss in UV optics during 193nm and 157nm irradiation is investigated by employing a high-resolution calorimetric technique which allows determining both single and two-photon absorptance at low energy densities. UV calorimetry is also employed to investigate laser induced aging phenomena, e.g. color center formation in fused silica. A separation of transient and cumulative effects can be achieved, giving insight into the loss mechanisms. A strong wavelength dependence of the DUV and VUV absorption characteristics in CaF2 substrates is observed. In addition, Hartmann-Shack wavefront measurements are presented, which allow on-line monitoring of laser-induced compaction in fused silica.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Intra-field lens aberrations and distortions affect the shape of contact hole patterning. This effect is more severe with defocus. In this paper, we have studied the effect of difference in horizontal and vertical diameter of a contact hole on the lithography process window for different illumination conditions using a 0.68NA step and scan system. It is found that the depth of focus (DOF) for 0.22um contact hole patterning shrinks considerably taking into account the horizontal and vertical diameter measurements as compared to the average diameter measurements alone.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A phase only grating consisting of equal lines of 0 and 90 degree phase is imaged into a highly absorbing photoresist forming a surface relief grating that is measured with a dark- field optical microscope and a CCD array. The resulting images are analyzed to determine spherical aberration, +-0.001 wave RMS, and focus variations of +-2.0 nm. This method of measurement and analysis is applied to both 248 and 193 nm photolithography lenses.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A technique for the evaluation of scanner lens aberration is described and analyzed. The method is based on the reconstruction of aerial image distribution using a double exposure technique: A first exposure of the mask feature of interest is followed by uniform background exposure. The topdown images in resist at increasing background exposure dose are analyzed using suitable threshold algorithms to obtain a set of aerial image intensity contour lines. This technique has been applied to the analysis of aerial images formed by isolated contacts using an attenuated PSM. Of particular interest in this case is the aerial image intensity present on the first sidelobe and its angular dependence. In the absence of lens aberrations the sidelobe intensity has no angular dependence whereas the presence of aberrations in the lens generally results in a non-uniform angular sidelobe intensity distributions. A detailed theoretical analysis of the capabilities of this method is being presented: Linearity, zero response and expected results in the presence of various Zernike terms have been studied. We were not only able to separate Zernike terms based on their angular dependence but we also propose a method to assess the order of the radial component.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This study is to establish the relationship of lens heating (LH) performance with related process variables and develop the methodology for reducing LH induced focus drift for different products based on ASML LH algorithms and experiment data. Focus drift data is collected at certain LH machine constants for different process settings, such as different clear window images (CLW) in stepper jobs, different exposure doses, reticle transmission rates, and substrates. The further study is done at different illumination settings to establish the correlation between NA/sigma settings, focus drift and LH scaling factors ((mu) 1 (mu) 2). The characteristic (mu) 1, (mu) 2 -- NA/Ill relationship for this i-line stepper is generated using production batches. LH machine constants are fine-tuned based on the Poly layer for 0.30 micrometer Logic Mix-mode, 0.30 micrometer SRAM and 0.35 micrometer Embedded SRAM products. This work provides an accurate and practical way to fine-tune LH for all the i-line/DUV steppers based on the critical layer of representative products in a foundry fab.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
ARTEMISTM (Aberration Ring Test Exposed at Multiple Illumination Settings) is a technique to determine in-situ, full-field, low and high order lens aberrations. In this paper we are analyzing the ARTEMISTM data of PAS5500/750TM DUV Step & Scan systems and its use as a lithographic prediction tool. ARTEMISTM is capable of determining Zernike coefficients up to Z25 with a 3(sigma) reproducibility range from 1.5 to 4.5 nm depending on the aberration type. 3D electric field simulations, that take the extended geometry of the phase shift feature into account, have been used for an improved treatment of the extraction of the spherical Zernike coefficients. Knowledge of the extracted Zernike coefficients allows an accurate prediction of the lithographic performance of the scanner system. This ability is demonstrated for a two bar pattern and an isolation pattern. The RMS difference between the ARTEMISTM-based lithographic prediction and the lithographic measurement is 2.5 nm for the two bar pattern and 3 nm for the isolation pattern. The 3(sigma) reproducibility of the prediction for the two bar pattern is 2.5 nm and 1 nm for the isolation pattern. This is better than the reproducibility of the lithographic measurements themselves.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
All optical imaging systems have some amount of stray light, or flare, that detracts from system performance, critical dimension (CD) control, and process latitude. The effects of flare increase when multiple exposure processes, such as complementary phase shift, are used since this doubles the amount of exposure energy going through the optics. Flare was characterized on several modern KrF and ArF exposure tools using a direct method of measurement. Flare is determined by measuring the reduction in the size of a 160 nm line as it is subjected to increasing dose from a second 'flare' exposure. The amount of flare is determined using regression between experimental and modeled data. Lithography modeling was used to quantify the amount of flare responsible for CD variation. This method allows evaluation of CD control degradation on actual features that are sized close to production feature size. The effects of substrate reflectance and mask loading were also studied. The results were compared to a published large pad flare measurement technique in common use.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As further experience is gained and data is gathered using direct Phase Measurement Interferometry (PMI) techniques in the production of leading edge lithography lenses, some progress is being made towards the goal of assigning specific image degradation symptoms to specific types of aberration content. However, since both the specific object being imaged and the illumination distribution being applied to the projection will define the spatial frequency content of a given image, any attempt at analyzing aberration sensitivity must also address these constraints. The paper summarizes the results of image simulation studies, wherein the through-focus aerial image intensity distribution is initially described by a set of metrics and coefficients. These íºimaging metricsí¿ (for example, curvature or tilt of CD vs. Focus functional representation) are then directly correlated to various types of aberration content, as represented through Zernike coefficients. Some types of imaging responses are seen to correlate to specific aberrations, while others do not. A method for specifying and identifying threshold levels of 'influencing aberration' are determined from the sensitivity studies executed. In particular, the imaging of alternating phase shift mask patterns in the region of half-(lambda) will be investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A method is described to assess the influence of lens aberrations on the image by analyzing the interaction of specific aberrations and diffraction patterns resulting from corresponding mask structures. In order to establish a correlation between the amplitude of individual diffraction orders and specific aberrations, the sensitivity of each diffraction order is investigated separately. The resulting information is used in order to find means to reduce the influence of the aberrations on the diffraction pattern. Several possibilities such as various mask biases, serifs and non-printable assist features can either enhance or decrease the sensitivity to specific aberrations. This method of diffraction order sensitivity study is described and experimentally tested.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As optical lithographers push to extend optical lithography technologies to create smaller features with higher NA, lower k1 values and shorter wavelengths, transmitted wavefront specifications for HPFSR fused silica blanks continue to tighten. HPFSR fused silica blanks are typically certified for acceptance using an interferometer operating at a wavelength of 632.8 nm. As the market demands increasingly tighter homogeneity specifications, it has become critical to understand the sources of variation in wavefront measurements. Corning has recently initiated a study to identify those sources of variation. One glass attribute being studied is the impact of residual stress on the wavefront. It is known that residual stresses can alter the refractive index of fused silica. To obtain the residual stress measurements, birefringence measurements were obtained at 632.8 nm for comparison to wavefront measurements at 632.8 nm. The relationship between residual birefringence and transmitted wavefront measurements, at 632.8 nm on Corning HPFSR fused silica blanks, is explored in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As CDs continue to shrink, lithographers are moving more towards using off-axis illumination schemes to increase their CD budget. There have been several papers over the last few years describing various custom illumination profiles designed for application specific optimization. These include various annular and quadrupole illumination schemes including weak quadrupole, CQUEST, and QuasarTM. Traditionally, pupil filtering is used to realize these complex illumination modes but this approach tends to introduce significant light loss. Therefore, compromises are made to lithographic performance to minimize the effect on wafer throughput. Diffractive optics, if incorporated into the design of the illumination system, can be used to create arbitrary illumination profiles without the associated light loss, thus maintaining throughput while optimizing system performance. We report on the design and fabrication of such devices for use with KrF, ArF, and potentially F2 scanners. Extension to I-line steppers is also possible.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High NA illumination system and off-axis illumination (OAI) have been shown as two of the most practical resolution enhancement techniques (RET) available for micro-lithography. However, these two illumination approaches may reduce the DOF of iso-patterns. To overcome this problem, scattering bar (SB) assignment has been wildly used. In this paper, the discussions are focused on SB variables of iso-features. The most important variable of SB usage is where is the suitable assignment position. A simply efficient rule has been found to easily catch the optimal position of SB assignment. For OAI illumination, the optimal SB position is exactly the same with the defocus side-lobe position of iso-line. The effect of the secondary pair of SB is also discussed in this paper, and it is found that if the secondary SB pair was not at the optimal position, the process window would be reduced. Another major topic in this paper is the specification of SB width. Here we design a test pattern to target the specification of SB width. The experimental results might give us a clear specification of SB width.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we report highly effective Optical Proximity Correction (OPC) techniques to improve the process margin in the photo lithography process of metal layer, which can be applied to 0.14 micrometer DRAM technology node and beyond. The proposed test pattern reflects the optical limitation of each situation, the rules can be established by simply investigating the test patterns which solves the problems such as lack of contact overlap margin, line-end shortening, and size reduction in isolated and island patterns. This sophisticated rule is considering the vertical environment as well. Thanks to systematic sequence for rule extraction, we could minimize additional burdens such as error occurrence, rule set-up time, data volume, manufacturing time of mask. By applying this method, DOF margin of metal layer could be improved from 0.4 micrometer to beyond 0.6 micrometer, which provides sufficient process window for mass production of 0.14 micrometer DRAM technology. In addition, we also confirmed that the new OPC technology could be extended to the metal layer of 0.11 micrometer DRAM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the semiconductor industry accelerates its pace to move to 100-nm lithography node, a shift in exposure wavelength from 248 nm to 193 nm seems to be inevitable. Correspondingly, the change of wavelength and the desire to maintain or improve productivity offers some big challenges that must be resolved in order to continue our march into sub-wavelength optical lithography. This paper discusses a number of challenges that must be addressed, and offers how some of them can be addressed with advanced technology used by full-field catadioptric scanning lithography systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In dark-field (DF) lithography, light from the condenser illuminates the reticle at such a steep angle that non- diffracted light is lost from the system. A DF reticle contains a series of sub-resolution amplitude gratings to diffract light from the condenser into the projection lens and, thus, to precisely control the amplitude, phase and direction of light from every point on the reticle. In this paper we show how DF lithography can be used to print high- density patterns at higher contrast than is currently possible with conventional 193-nm lithography in a single exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To extend optical lithography technology to the sub-100 nm linewidth regime, all mask-related distortions must be eliminated or minimized. Thermal distortion during the exposure process can be a significant contribution to the total pattern placement error budget for advanced photomasks. Consequently, several finite element (FE) models were developed to predict the thermal and the mechanical response of the optical reticle during exposure. This paper presents the experimental verification of the FE thermal models. In particular, the results of the numerical simulation were compared with the experimental data and excellent agreement was found.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the use of electromagnetic simulation in lithography increases, accuracy issues are uncovered and must be addressed. A proper understanding of these issues can allow the lithographer to avoid pitfalls in electromagnetic simulation and to know what can and can not be accurately simulated. This paper addresses the important accuracy issues related to the simulation of photomask scattering using the Finite Difference Time Domain (FDTD) method. Errors related to discretization and periodic boundary conditions are discussed. Discretization-related issues arise when derivatives are replaced by finite differences and when integrals are replaced by summations. These approximations can lead to mask features that do not have exact dimensions. The effects of discretization error on phase wells and thin films are shown. The reflectivity of certain thin film layers is seen to be very sensitive to the layer thickness. Simulation experiments and theory are used to determine how fine a discretization is necessary and various discretization schemes that help minimize error are presented. Boundary-condition-related errors arise from the use of periodic boundary conditions when simulating isolated mask features. The effects of periodic boundary conditions are assessed through the use of simulation experiments. All errors are associated with an ever-present trade-off between accuracy and computational resources. However, choosing the cell size wisely can, in many cases, minimize error without significantly increasing computation resource requirements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Simulation of photomask patterning process and optical lithography at wafer level has been combined to investigate the influence of a distorted photomask feature on final photoresist image. Unlike the previous optical lithography simulations which were based on ideal mask designs, the optical lithography simulation presented in this paper is based on distorted masks. The distorted mask comes from electron beam lithography simulation or laser direct write simulation. Proximity effects in e-beam lithography or laser direct write has been taken into account. The results have shown that optical proximity effect is worsened if a distorted mask is used in the optical lithography simulation, instead of an ideal mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Lithography Analysis using Virtual Access (LAVA) web site at http://cuervo.eecs.berkeley.edu/Volcano/ has been enhanced with new optical and deposition applets, graphical infrastructure and linkage to parallel execution on networks of workstations. More than ten new graphical user interface applets have been designed to support education, illustrate novel concepts from research, and explore usage of parallel machines. These applets have been improved through feedback and classroom use. Over the last year LAVA provided industry and other academic communities 1,300 session and 700 rigorous simulations per month among the SPLAT, SAMPLE2D, SAMPLE3D, TEMPEST, STORM, and BEBS simulators.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Predictive and efficient lithography simulation is an important component of the semiconductor industry efforts to develop the next generation of deep submicron technologies. Emerging technologies are based on elements with very small feature sizes and extremely complex and nonplanar topographies. Therefore lithography processing has to provide high resolution with large depth of focus. Simultaneously such effects as nonplanar reflections and notching as well as refractive index dependence on local absorbed dose are very critical for printing small mask elements using short wavelength radiation. This work presents a new approach for simulating the exposure process that takes into account these effects in complex nonplanar 2D/3D resist-substrate structures. Because the method is very general it can be used for different types of radiation (UV, EUV, X-ray) as well as for multiexposure processes and multilayer and nonlinear resists. Complete exposure simulations for a typical 2D structure take 2-20 sec on a Sun Ultra-10 workstation while 3D simulations may take from 0.5 to 30 minutes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This is an extension of our previous work where we discussed basic assumptions of device oriented process verification. Here, we propose an integrated procedure to verify the design of active devices and interconnections. It entails extraction of device, contact, and interconnect electrical performance based on optical simulation of layout geometries, including proximity correction features, combined with critical dimension (CD) variation and misalignment. A critical analysis, proposed in this work, made it possible to focus the simulation on the selected process corner options. We integrated multi-level optical and device simulation to verify dense layouts for deep sub- wavelength design rules in a six-transistor advanced memory cell.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Attenuated phase shift masks (PSM) have been widely used in photolithography to enhance resolution and process margin. The advantage of attenuated PSM is further enhanced when it is combined with off-axis illumination (OAI) and optical proximity correction (OPC). This combination results in better performance than when attenuated PSM or OAI is used separately. However, the performance of isolated features is still a limiting factor to improve process margin. One result of such resolution enhancement techniques is conjunction with high numerical aperture imaging systems is an increase in the angles of the light used to form the images. Polarization mismatching among interacting beams becomes worse as the incident angle increases. In this paper we use contact hole patterning as an example to demonstrate how polarization plays a role with different partial coherence factors. PROLITH/3D simulation was used to compare and explain experimental results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Rigorous electromagnetic simulation with TEMPEST is used to provide benchmark data and understanding of key parameters in the design of topographical features of alignment marks. Periodic large silicon trenches are analyzed as a function of wavelength (530-800 nm), duty cycle, depth, slope and angle of incidence. The signals are well behaved except when the trench width becomes about 1 micrometers or smaller. Segmentation of the trenches to form 3D marks shows that a segmentation period of 2-5 wavelengths makes the diffraction in the (1,1) direction about 1/3 to 1/2 of that in the main first order (1,0). Transmission alignment marks nanoimprint lithography using the difference between the +1 and -1 reflected orders showed a sensitivity of the difference signal to misalignment of 0.7%/nm for rigorous simulation and 0.5%/nm for simple ray-tracing. The sensitivity to a slanted substrate indentation was 10 nm off-set per degree of tilt from horizontal.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Using a new functionality of the Calibre PrintImage tool, a method for side lobe correction is presented. A full chip aerial image mapping is first obtained and then analyzed to detect and output polygons corresponding to chip areas where the aerial image intensity is above a user set threshold. Using state of the art DRC tool and associated RET software from Mentor Graphics we are able to propose a completely automated flow for side lobe detection and correction. Mask manufacturing complexity can also be taken into consideration using geometrical constraints similar to those used for scattering bars, such as minimum length, minimum width and minimum space to main features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The location of a printed edge can be controlled to a fineness that is two orders of magnitude smaller than the design grid, if a slight displacement of the pattern can be tolerated. The essence of this asymmetric subgrid biasing technique is the crenelation of two edges of a pattern into different periods. Fractional arithmetic results in a bias increment that much smaller than that can be achieved with halftone biasing. For a design grid of 20 nm (1X), and an exposure system with (lambda) equals 248 nm, NA equals 0.68, and (sigma) equals 0.8, the bias increment can be as small as 0.22 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents a systematic theoretical and simulation study on how scattering bar could impact lithographic performance in the presence of lens aberrations. In particular, the effects of bar size, bar placement and pitch at conventional and annular illuminations are investigated. For the study, a simple 1-D two-bar structure is used. The effects of odd and even aberration terms are studied assuming the presence of either primary coma or primary astigmatism only. Simulations using a set of 37 Zernike coefficients from a state-of-the-art DUV step and scan are also carried out. Pattern asymmetry of the two-bar pattern is used to quantify the effects of odd aberration terms; the root-mean-square value of CD difference through focus of two orthogonal lines is used to quantify the effects of even aberration terms. Results show that scattering bar has a significant impact on the effects of lens aberrations. The magnitude and polarity of this influence depends on the bar size, bar placement, pitch and illumination conditions. Pattern asymmetry under annular illumination is particular sensitive to bar size and bar placement; CD difference through focus under conventional illumination at a range of pitch values decrease significantly with proper bar placement. The trends observed are similar even when a full set of Zernike coefficients are used. A thorough and more complete understanding of how scattering bar impact lens aberration effect for different mask structures and at different illumination conditions is thus needed for low-k1 imaging.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We studied whether the critical layers of 0.12 micrometer DRAM could be processed with optical lithography techniques assuming ArF excimer laser as a light source. To enhance the aerial image fidelity and process margin, phase shift mask (PSM) patterns as well as binary mask patterns are corrected with in-house developed optical proximity correction (OPC) software. As the result, we found that the aerial image of the critical layers of a DRAM cell with 0.12 micrometer design rule could not be reproduced with binary masks. But, if we use PSM or optical proximity corrected PSM, the fidelity of aerial image, resolution and process margin are so much enhanced that they could be processed with optical lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
CD Uniformity (CDU), a.k.a. CD control, linewidth variation, etc., is a lithographic tool parameter that tool vendors and chip manufacturers alike have an interest in improving. A difficult task has been deciding where to concentrate improvement effort. Expending resource to reduce, for instance, lens aberrations may not pay off in finished product if the culprit in CD error is, for example, pupil-fill uniformity. In recent years, formal analysis tools, including error budgets, have been used to analyze CDU error. A good analysis tells us where the errors originate, their relative size, and the expected effect of the different improvements available. Statistical analysis of CDU data is the necessary first step in separating tool systematic errors, process systematic errors, and random errors. This has been discussed by other authors and is easily performed using standard techniques, typically on a sample of features exposed on a wafer at best focus and best exposure. The next step, assignment of responsibility for error to tool subsystems or to process parameters, must employ additional data obtained in other tests, such as CD-through-focus exposures or illumination uniformity measurements. This paper describes an error-budget analysis of CDU data from a Nikon scanner and provides examples not only of the error breakdown but also of observable improvement steps taken during the project. This includes data measured both with CD-SEM metrology and with Nikon's OCD technique. Recommendations for specification limits on tool subsystems as well as for metrology methods are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As technology pushes feature dimensions smaller, the effect of lens aberrations becomes more relevant. Therefore, it has become important that we completely understand the effects lens aberrations have on our product feature patterning. It also becomes important that we have a tool and a process that can accurately describe and measure the aberrations in our exposure systems. With our lens systems characterized and through use of a lithographic simulator, we can predict pattern placement, critical dimensions and intra-field overlay errors. It is the inclusion of aberration information in the simulator that has allowed us to predict across field placement and critical dimension effects on features in our designs. This paper looks at the use of an In-Situ Interferometer for measuring lens aberrations and characterizing the exposure systems for use in a lithographic simulator. The aberration data was used in conjunction with a simulator to predict pattern placement, critical dimension and intra-field overlay. Simulated overlay was compared to inline product overlay to investigate the accuracy of the aberration measurement tool and process. This paper extends work previously described to validate the simulation process for the 170nm technology node with multiple layer combinations investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper discusses two new techniques that have been developed to improve overlay matching accuracy over multiple wafer scanners: Super Distortion Matching system (SDM) and Grid Compensation for Matching (GCM), and actual data from experiments performed using the techniques. Overlay matching errors can be divided into the two basic categories, intra-shot error and inter-shot error, which can be improved by SDM and GCM, respectively.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
KrF excimer laser lithography has applications for the less-than-130-nm-design rule by improving the exposure technology, i.e., super-resolution technology. We therefore developed a 4-kHz KrF excimer laser which corresponds to the next generation's high throughput and high number of aperture (NA) scanner requirements, and achieved low cost of operation (CoO) for this light source for mass production uses. We estimated the basic performance requirements of our device, and developed the necessary high repetition rate operation technology that corresponds to a high throughput scanner, and achieved 4-kHz/30-W laser output. We also developed pulse stretching technology for ultra line narrowing, which can accommodate the high NA lens, and achieved more than 30 ns pulse width (Tis). We can thus expect less than 0.45-pm spectral bandwidth (FWHM). Moreover, the relation of the repetition rate operation and main module life was evaluated, and the optimal repetition frequency, which considers CoO, was adopted.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the smallest desired feature dimensions decrease ever further below the wavelength of the imaging light, as the numerical aperture of the projection optics continues to increase, and as more degrees of freedom in exposure system setup are made available, so new attention is needed on the parameter space used to establish manufacturable process latitude. A detailed analysis of depth-of-focus data is presented for isolated lines which comprehends the effects of dynamic focal plane error, scan-direction dependence, non-quadratic through-focus behavior, measurement noise, and aberration content. This analysis is applied in a case study of the lens and stage adjustment process for a new exposure system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The requirement for higher resolution is pushing up the NA of the projection lens. As a result, DOF becomes shallower, and the focus budget becomes tight. Precise measurement of best focus is becoming more and more important. A new aerial image sensor is presented that is suitable for use on leading edge wafer steppers. This sensor detects the intensity distribution of aerial images down to 0.15 micrometer isolated lines, and is currently used as a best focus calibration sensor for wafer steppers. This sensor can measure best focus using both dense and isolated patterns with a precision of < 20 nm (3(sigma) ). In actual operation, determination of best focus on a wafer stepper requires only a few minutes. The functionality of this sensor is being expanded to include additional self- calibration tasks, such as magnification, illumination telecentricity, distortion, and other aberrations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to meet the requirements of the Semiconductor industry, Canon has developed two new optical systems. First is an extension of KrF technology, with the introduction of high NA0.73 lens for KrF scanner that will cover the 130 nm device node. Second is the 0.57NA optics for wide field (30 mm square) i-line stepper, to be used cost-effectively on Mix & Match modes. These new generation lenses behave very low aberration. Wavefront is accurately measured by PMI (Phase Measurement Interferometer). At each tuning process, many image performance items are estimated by simulations, and then the results feed back to tuning. Further, not only we make Wavefront RMS minimum, but also each Zernike coefficients are balanced for the various pattern models. So the lens may perform to the level of the industry's requirement. This paper reports the imaging performance; simulation result calculated with final PMI data, and exposed image performance, of the above two new generation optics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The line-width variation of a 193 nm lithographic process utilizing a 0.60 NA scanner and a binary reticle is compared to that of a 248 nm lithographic processes utilizing a 0.68 NA scanner and a variety of reticle technologies. These include binary, attenuated PSM with assist features and alternating PSM reticles. Despite the fact that the 193 nm tool has a lower NA and that the data was generated using a binary reticle, the 193 nm lithographic process allows for the line-width values to be pushed lower than previously achieved with 248 nm lithographic processes. The 3-sigma values from 4000 electrical line-width measurements per wafer (160 measurements per 25*25 mm field, 25 fields per wafer) were calculated for different mask features. The 193 nm process was capable of reaching line-widths needed for future generations of advance logic chips. Compared to the 193 nm process utilizing a binary reticle, only the 248 nm processes utilizing either an attenuated PSM with assist features or an alternating PSM reticle had similarly low line-width variation. The 248 nm processes utilizing a binary reticle had higher line-width variation even at larger poly gate conductor line-widths.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Sidewall Chrome Alternating Aperture (SCAA) mask has now successftilly printed resist images with k1 factors as low as 0.20, without significant focus-dependent spacewidth alternation or other anomalies that affect common alternating-PSM structures. The SCAA mask process (reported at BACUS 2000') etches the phase topography first and then forms the transparent openings that define the image in a conformal chrome layer deposited afterwards. This process minimizes the differences between the 3-dimensional environments ofthe phase shifted and unshifted mask features. With all chrome supported and all quartz walls covered, only the size of a chrome aperture determines its transmission and only the height difference ofthe quartz surface affects the phase shift. SCAA masks are more stable mechanically than alt-PSM structures in which the quartz walls are undercut beneath the chrome edges to minimize the french walls effects. The chrome covering the phase edges also buries entire classes ofunrepairable phase defects. Initial experiments on Canon ES2 and ES3 exposure tools confirm that KrF SCAA masks project acceptable images of isolated line and line-space patterns down to lOOnm in 280mn thick JSR M1O8Y resist. The process windows, however, were limited by resist collapse, and there were strong optical proximity effects. Comparing the resist results to SEM scans of the masks confirmed the insensitivity ofthe image to overlay errors, so long as the phase steps were covered by chrome. The Phase Phirst paradigm exploits the SCAA mask structure to enable low cost strong PSMs. The key is to mass produce SCAA mask substrates with generally useful phase topographies using wafer fab techniques. These Phase Phirst substrates would arrive at the mask houses ready to write and guaranteed to be free ofphase-defects. At design houses, Phase Phirstenabled EDA tools would lay out the chips in such a way that all fme dark features lie at the predetermined phase shift locations on the Phase Phirst substrate while the larger-dimension structures appear on a more conventional trim-mask. Once the GDS-II tapes arrive at the mask house, the chip design would be matched to the specified Phase Phirst substrate and printed in the chrome. Cost and turn around time should be similar to those of a COG mask pair. The wafer yield and resolution, however, would be enhanced by the well-known advantages of strong phase-shifting.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The introduction of 157 nm as the next optical lithography wavelength has created a need for new soft (polymeric) or hard (quartz) pellicle materials optimized for this wavelength. Materials design and development of ultra transparent fluoropolymers suitable for 157 nm soft pellicle applications has produced a number of promising candidate materials with absorbances below 0.03/micrometer as is necessary to achieve pellicle transmissions above 95%. We have developed 12 families of experimental TeflonAFR (TAFx) materials which have sufficient transparency to produce transmissions above 95%. For the successful fabrication of 157 nm pellicles from these materials, the fluoropolymers must have appropriate physical properties to permit the spin coating of thin polymer films and their lifting and adhesive mounting to pellicle frames, the processes which produce free standing pellicle membranes of micron scale thickness. Relevant physical properties include molecular weight, glass transition temperature, and mechanical strength and toughness. We have successfully developed various of the ultra transparent TAFx polymer families with these physical properties. Upon irradiation these 157 nm pellicle polymers undergo photochemical darkening, which reduces the 157 nm transmission of the material. Measurements of the photochemical darkening rate allow the estimation of the pellicle lifetime corresponding to a 10% drop in 157 nm transmission. Increasing the 157 nm lifetime of fluoropolymers involves simultaneous optimization of the materials, the pellicle and the end use. Similar optimization was essential to achieve the desired radiation durability lifetimes for pellicles successfully developed for use with KrF (248 nm) and ArF (193 nm) lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 157 nm lithography technology is supposed to become the system setup for the 100 nm respectively the 70 nm node. The first 157 nm Full-Field Scanner system is expected in 2002. Every currently evaluated optical design of such lithography systems makes a very intensive use of Calcium Fluoride as one of the few optical materials having the required transmittance at the F2 laser wavelength solely. Additionally the required further industrial production processes e.g. polishing and development of coatings are known from the 193 nm lithography where CaF2 is already in use. In this paper we report about R&D activities of the material development used for the high quality CaF2. Thus the main aspects on quality are discussed in detail.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Scattered light, especially in the mid spatial frequency range, is shown to be more prominent and critical for exposure tool at 193nm and beyond in addition to the figure error represented by 37 Zernike polynomials. Image quality is degraded by the scattered light. An imaging model based on the concept of Power Spectral Density (PSD) is developed on a telecentric exposure system with Kohler illumination. The PSD takes into account of the different regime of spatial frequency of the roughness in the system. The imaging model uses two set of PSDs (either Gaussian, K-correlation or Fractal) one from the condenser/reticle roughness and the other from projection optics roughness. Each PSD is described by only 2 parameters. The simulation shows that condenser roughness modifies the source shape and reduces spatial coherence of the source. The projection optics roughness degrades image quality and cause long range light scattering into opaque region. This model provided a efficient framework for the study of the impact of scattered light on various lithographic techniques, including double exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The purpose of this paper is to introduce the fundamental concepts needed to understand the roles of NA, sigma and lambda in aerial image formation for low k1 equals CD/((lambda) /NA) applications. Starting with an idealized representation of the condenser and projection optics in a stepper, the definitions of NA and sigma will be rigorously developed. Next the aerial image will be related to source illumination pattern at the objective pupil that includes the effect of diffraction by the reticle. The initial case considered will be for dense lines and spaces. Off Axis Illumination OAI will then be presented to show exactly what it is and how it can result in increased resolution. The cases of semi-isolated lines and spaces are also be addressed. Also included will be a detailed derivation of the diffraction equations for dense lines and spaces not found in text books.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The challenge is developing imaging solutions for 180 nm trench lithography that provides maximum overlapping process windows for imaging through pitch. The issue has been addressed first; through simulation to optimize illumination, secondly; with experimentation and the collection of data through dose and focus for a number of pitch sequences with several illumination conditions for each CD. Our problem is how to handle the comparison of many ED windows and still be able to determine which set of conditions provide the best result, the POP factor (Pitch Optimization Process) was determined. The authors will review the POP factor to demonstrate a possible new technique in the calculation of multiple pitch ED windows.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sub-wavelength lithography requires knowledgeable application of resolution enhancement techniques (RETs) such as optical proximity correction (OPC) and phase shift mask (PSM). Use of RETs, in turn, requires that new photomask specifications and special requirements for mask defect printability be taken into consideration. This is especially true, as the photomask's critical dimensions become more aggressive (400 nm moving toward 300 nm). Traditionally, mask defect analysis and subsequent defect disposition has been accomplished by first performing automated reticle inspection, and then by visual inspection ultimately dependent on operator judgement. As the semiconductor industry moves to more challenging process generations this methodology is no longer viable for assessing the impact of a defect on the printed wafer. New techniques for more accurate, production-worthy defect printability analysis and defect disposition procedures are required. Developed at Numerical Technologies, Inc. is the Virtual StepperTM System that offers a fast, accurate software solution for defect printability analysis based on state-of- the-art lithography simulation techniques for advanced masks production using OPC and PSM. The newly developed Virtual Stepper System feature, Automatic Defect Severity Scoring (ADSS) provides fully automated and accurate defect impact analysis capability by calculating a consistent Defect Severity Score (DSS) for each defect detected by an inspection tool. DSS is an overall score that quantifies the impact of a given defect on surrounding features and can be used as a comprehensive indicator of defect printability. Taken into consideration, are not only printing defects, but defects which cause critical dimension (CD) errors altering a given process window.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.